Skip to main content
Erschienen in: Journal of Electronic Testing 4/2017

24.06.2017

ACM: An Energy-Efficient Accuracy Configurable Multiplier for Error-Resilient Applications

verfasst von: Bharat Garg, G. K. Sharma

Erschienen in: Journal of Electronic Testing | Ausgabe 4/2017

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The multimedia applications such as image, audio and video processing allow approximation in computations, provided that, errors are of definite types and have austerities within confined limits, thus exhibiting error-resiliency. An approximate arithmetic circuit can be exploited to avail this error-resiliency for improving energy-efficiency. This paper presents an approximate multiplier that provides higher energy-efficiency at the cost of minor loss of accuracy. The proposed multiplier offers twofold improved performance because of reduced level of gates and curtailed inherent switched capacitances. Further, to achieve variable accuracy, an Accuracy Configurable Multiplier (ACM) algorithm is proposed that provides improved Speed-Power-Area-Accuracy (SPAA) metrics. The proposed ACM enables dynamic accuracy configurability via small error correction logic. Simulation results over accurate 8-bit multiplier indicate 57.37% and 25.17% reduced power and area, respectively. Moreover, accuracy configurability is achieved with only 10.5% and 12.32%, area and power overhead, respectively. Moreover, the proposed multiplier in real applications such as Gaussian smoothing filter attains better SPAA tradeoff over the existing approximate multipliers.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Anhänge
Nur mit Berechtigung zugänglich
Literatur
1.
Zurück zum Zitat Lu SL (2004) Speeding up processing with approximation circuits. Computer 37(3):67–73CrossRef Lu SL (2004) Speeding up processing with approximation circuits. Computer 37(3):67–73CrossRef
2.
Zurück zum Zitat Zhu N, Goh WL, Wang G, Yeo KS (2010) Enhanced low-power high-speed adder for error-tolerant application Proceedings of the international SoC design conference (ISOCC), pp 323–327 Zhu N, Goh WL, Wang G, Yeo KS (2010) Enhanced low-power high-speed adder for error-tolerant application Proceedings of the international SoC design conference (ISOCC), pp 323–327
3.
Zurück zum Zitat Zhu N, Goh WL, Zhang W, Yeo KS, Kong ZH (2010) Design of low-power high-speed truncation-error-tolerant adder and its application in digital signal processing. In IEEE Transactions on Very Large Scale Integration (VLSI) Systems 18(8):1225– 1229CrossRef Zhu N, Goh WL, Zhang W, Yeo KS, Kong ZH (2010) Design of low-power high-speed truncation-error-tolerant adder and its application in digital signal processing. In IEEE Transactions on Very Large Scale Integration (VLSI) Systems 18(8):1225– 1229CrossRef
4.
Zurück zum Zitat Kulkarni P, Gupta P, Ercegovac M (2011) Trading accuracy for power with an underdesigned multiplier architecture Proceedings of the 24th international conference on VLSI design (VLSID), pp 346–351 Kulkarni P, Gupta P, Ercegovac M (2011) Trading accuracy for power with an underdesigned multiplier architecture Proceedings of the 24th international conference on VLSI design (VLSID), pp 346–351
5.
Zurück zum Zitat Zhu N, Goh WL, Wang G, Yeo KS (2010) Enhanced low-power high-speed adder for error-tolerant application Proceedings of the international SoC design conference (ISOCC), pp 323–327 Zhu N, Goh WL, Wang G, Yeo KS (2010) Enhanced low-power high-speed adder for error-tolerant application Proceedings of the international SoC design conference (ISOCC), pp 323–327
6.
Zurück zum Zitat Momeni A, Han J, Montuschi P, Lombardi F (2015) Design and analysis of approximate compressors for multiplication. IEEE Trans Comput 64(4):984–994MathSciNetCrossRefMATH Momeni A, Han J, Montuschi P, Lombardi F (2015) Design and analysis of approximate compressors for multiplication. IEEE Trans Comput 64(4):984–994MathSciNetCrossRefMATH
7.
Zurück zum Zitat Verma A, Brisk P, Ienne P (2008) Variable latency speculative addition: A new paradigm for arithmetic circuit design Proceedings of design, automation and test in Europe (DATE), pp 1250–1255 Verma A, Brisk P, Ienne P (2008) Variable latency speculative addition: A new paradigm for arithmetic circuit design Proceedings of design, automation and test in Europe (DATE), pp 1250–1255
8.
Zurück zum Zitat Kahng A, Kang S (2012) Accuracy-configurable adder for approximate arithmetic designs Proceedings of the 49th ACM/EDAC/IEEE design automation conference (DAC), pp 820–825 Kahng A, Kang S (2012) Accuracy-configurable adder for approximate arithmetic designs Proceedings of the 49th ACM/EDAC/IEEE design automation conference (DAC), pp 820–825
9.
Zurück zum Zitat Garg B, Dutt S, Sharma G (2016) Bit-width-aware constant-delay run-time accuracy programmable adder for error-resilient applications. Microelectron J 50:1–7CrossRef Garg B, Dutt S, Sharma G (2016) Bit-width-aware constant-delay run-time accuracy programmable adder for error-resilient applications. Microelectron J 50:1–7CrossRef
10.
Zurück zum Zitat Sullivan M, Swartzlander E (2012) Truncated error correction for flexible approximate multiplication Proceedings of the conference record of the 46th Asilomar conference on signals, systems and computers (ASILOMAR), pp 355–359 Sullivan M, Swartzlander E (2012) Truncated error correction for flexible approximate multiplication Proceedings of the conference record of the 46th Asilomar conference on signals, systems and computers (ASILOMAR), pp 355–359
11.
Zurück zum Zitat Hashemi S, Bahar R, Reda S (2015) DRUM: A dynamic range unbiased multiplier for approximate applications Proceedings of the IEEE/ACM international conference on computer-aided design (ICCAD), pp 418–425 Hashemi S, Bahar R, Reda S (2015) DRUM: A dynamic range unbiased multiplier for approximate applications Proceedings of the IEEE/ACM international conference on computer-aided design (ICCAD), pp 418–425
12.
Zurück zum Zitat Zervakis G, Tsoumanis K, Xydis S, Soudris D, Pekmestzi K (2016) Design-efficient approximate multiplication circuits through partial product perforation. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24(10):3105–3117CrossRef Zervakis G, Tsoumanis K, Xydis S, Soudris D, Pekmestzi K (2016) Design-efficient approximate multiplication circuits through partial product perforation. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24(10):3105–3117CrossRef
13.
Zurück zum Zitat Leem L, Cho H, Bau J, Jacobson Q, Mitra S (2010) ERSA: Error resilient system architecture for probabilistic applications design, automation test in europe conference exhibition (DATE), pp 1560–1565 Leem L, Cho H, Bau J, Jacobson Q, Mitra S (2010) ERSA: Error resilient system architecture for probabilistic applications design, automation test in europe conference exhibition (DATE), pp 1560–1565
14.
Zurück zum Zitat Garg B, Sharma G (2015) PAID: Process aware imprecise DCT architecture trading quality for energy efficiency. Journal of Low Power Electronics 11(2):121–132CrossRef Garg B, Sharma G (2015) PAID: Process aware imprecise DCT architecture trading quality for energy efficiency. Journal of Low Power Electronics 11(2):121–132CrossRef
15.
Zurück zum Zitat Jaiswal A, Garg B, Kaushal V, Sharma G (2015) SPAA-Aware 2D Gaussian smoothing filter design using efficient approximation techniques Proceedings of the 28th international conference on VLSI design (VLSID), pp 333–338 Jaiswal A, Garg B, Kaushal V, Sharma G (2015) SPAA-Aware 2D Gaussian smoothing filter design using efficient approximation techniques Proceedings of the 28th international conference on VLSI design (VLSID), pp 333–338
16.
Zurück zum Zitat Liang J, Han J, Lombardi F (2013) New metrics for the reliability of approximate and probabilistic adders. IEEE Trans Comput 62(9):1760–1771MathSciNetCrossRefMATH Liang J, Han J, Lombardi F (2013) New metrics for the reliability of approximate and probabilistic adders. IEEE Trans Comput 62(9):1760–1771MathSciNetCrossRefMATH
17.
Zurück zum Zitat Wang Z, Bovik AC, Sheikh HR, Simoncelli EP (2004) Image quality assessment: from error visibility to structural similarity. IEEE Trans Image Process 13(4):600–612CrossRef Wang Z, Bovik AC, Sheikh HR, Simoncelli EP (2004) Image quality assessment: from error visibility to structural similarity. IEEE Trans Image Process 13(4):600–612CrossRef
18.
Zurück zum Zitat Zhang L, Zhang D, Mou X (2011) FSIM: A feature similarity index for image quality assessment. IEEE Trans Image Process 20(8):2378–2386MathSciNetCrossRef Zhang L, Zhang D, Mou X (2011) FSIM: A feature similarity index for image quality assessment. IEEE Trans Image Process 20(8):2378–2386MathSciNetCrossRef
19.
Zurück zum Zitat Khorbotly S, Hassan F (2011) A modified approximation of 2D Gaussian smoothing filters for fixed-point platforms Proceedings of the 43rd southeastern symposium on system theory (SSST), pp 151–159 Khorbotly S, Hassan F (2011) A modified approximation of 2D Gaussian smoothing filters for fixed-point platforms Proceedings of the 43rd southeastern symposium on system theory (SSST), pp 151–159
Metadaten
Titel
ACM: An Energy-Efficient Accuracy Configurable Multiplier for Error-Resilient Applications
verfasst von
Bharat Garg
G. K. Sharma
Publikationsdatum
24.06.2017
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 4/2017
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-017-5667-8

Weitere Artikel der Ausgabe 4/2017

Journal of Electronic Testing 4/2017 Zur Ausgabe

EditorialNotes

Editorial

Neuer Inhalt