Skip to main content
Erschienen in: Journal of Electronic Testing 2/2018

15.03.2018

Application of Machine Learning Techniques in Post-Silicon Debugging and Bug Localization

verfasst von: Eman El Mandouh, Amr G. Wassal

Erschienen in: Journal of Electronic Testing | Ausgabe 2/2018

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

As the size of hardware (HW) design increases significantly, a huge amount of data is generated during the design simulation, emulation or prototyping. Debugging large HW designs becomes a tedious, time consuming and a bottleneck task within the function verification activities. This paper proposes the utilization of machine learning techniques to automate the diagnosis of design trace dump as well as helping in bug localization during post-silicon validation. Our framework starts by signal selection algorithm that identifies which signals to monitor during design execution. Signal selection depends on signal types as well as their connectivity network. The design is then executed and the trace dump is saved for offline analysis. Big-Data processing technique, namely, Map-Reduce is used to overcome the challenge of processing huge trace dump resulted from design running on FPGA prototype. K-means Clustering method is applied to group trace segments that are very similar and to identify the ones with a rare occurrence during the design execution. Additionally, we propose a bug localization framework in which X-means clustering is used to group the passing regression tests in clusters such that buggy tests can be detected when they fail to be assigned to any of the trained clusters. Our experimental results demonstrate the feasibility of the proposed approach in guiding the debugging effort using a group of industrial HW designs and its ability to detect multiple design injected defects using mutation-based-testing method.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
1.
Zurück zum Zitat S. Mitra et al., Post-silicon validation opportunities, challenges and recent advances, In Design Automation Conference, DAC, 2010 S. Mitra et al., Post-silicon validation opportunities, challenges and recent advances, In Design Automation Conference, DAC, 2010
2.
Zurück zum Zitat M. Dehbashi, A. Sülflow, and G. Fey, Automated design debugging in a testbench-based verification environment, In Euromicro Conference on Digital System Design (DSD), 2011 M. Dehbashi, A. Sülflow, and G. Fey, Automated design debugging in a testbench-based verification environment, In Euromicro Conference on Digital System Design (DSD), 2011
3.
Zurück zum Zitat E. Singh, C. Barrett, S. Mitra, E-QED: electrical bug localization during post-silicon validation enabled by quick error detection and formal methods, In Computer aided verification, CAV 2017, pp. 104–125 E. Singh, C. Barrett, S. Mitra, E-QED: electrical bug localization during post-silicon validation enabled by quick error detection and formal methods, In Computer aided verification, CAV 2017, pp. 104–125
4.
Zurück zum Zitat S. Park, A. Bracy, H. Wang, S. Mitra, BLoG: post-silicon bug localization in processors using bug localization graphs, In Design Automation Conference, DAC 2010 S. Park, A. Bracy, H. Wang, S. Mitra, BLoG: post-silicon bug localization in processors using bug localization graphs, In Design Automation Conference, DAC 2010
5.
Zurück zum Zitat A. DeOrio, D. S. Khudia, V. Bertacco, Post-silicon bug diagnosis with inconsistent executions, In the proceedings of IC Computer Aid Design ICCAD, 2011 A. DeOrio, D. S. Khudia, V. Bertacco, Post-silicon bug diagnosis with inconsistent executions, In the proceedings of IC Computer Aid Design ICCAD, 2011
6.
Zurück zum Zitat C. Richard Ho, M. Theobald, B. Batson, J.P. Grossman, Post-silicon debug using formal verification waypoints, In the proceedings of the Design and Verification Conference, DVCon, 2009, PP1–7 C. Richard Ho, M. Theobald, B. Batson, J.P. Grossman, Post-silicon debug using formal verification waypoints, In the proceedings of the Design and Verification Conference, DVCon, 2009, PP1–7
8.
Zurück zum Zitat A. DeOrio, Q. Li, M. Burgess, V. Bertacco, Machine learning based anomaly detection for Postsilicon bug diagnosis, In the Proceedings of Design Automation and Test in Europe, DATE, 2013 A. DeOrio, Q. Li, M. Burgess, V. Bertacco, Machine learning based anomaly detection for Postsilicon bug diagnosis, In the Proceedings of Design Automation and Test in Europe, DATE, 2013
9.
Zurück zum Zitat X. Liu and Q. Xu, Trace signal selection for visibility enhancement in post-silicon validation, In the Proceedings of Design Automation and Test in Europe, DATE, 2009, pp. 1338–1343 X. Liu and Q. Xu, Trace signal selection for visibility enhancement in post-silicon validation, In the Proceedings of Design Automation and Test in Europe, DATE, 2009, pp. 1338–1343
10.
Zurück zum Zitat Ko HF, Nicolici N (2009) Algorithms for state restoration and trace-signal selection for data acquisition in silicon debug. IEEE Transactions Computer Aided Design Integration Circuits System 28(2):285–297CrossRef Ko HF, Nicolici N (2009) Algorithms for state restoration and trace-signal selection for data acquisition in silicon debug. IEEE Transactions Computer Aided Design Integration Circuits System 28(2):285–297CrossRef
11.
Zurück zum Zitat Basu K, Mishra P (2013) RATS: restoration-aware trace signal selection for post-silicon validation. IEEE Transaction Very Large Scale Integration (VLSI) System 21(4):605–613CrossRef Basu K, Mishra P (2013) RATS: restoration-aware trace signal selection for post-silicon validation. IEEE Transaction Very Large Scale Integration (VLSI) System 21(4):605–613CrossRef
12.
Zurück zum Zitat D. Chatterjee, C. McCarter, and V. Bertacco, Simulation-based signal selection for state restoration in silicon debug, In the Proceedings of IEEE/ACM International Conference Computer-Aided Design (ICCAD), 2011, pp. 595–601 D. Chatterjee, C. McCarter, and V. Bertacco, Simulation-based signal selection for state restoration in silicon debug, In the Proceedings of IEEE/ACM International Conference Computer-Aided Design (ICCAD), 2011, pp. 595–601
13.
Zurück zum Zitat K. Rahmani, P. Mishra, and S. Ray, Efficient trace signal selection using augmentation and ILP techniques", International Symposium on Quality Electronic Design (ISQED), 2014, pp. 148–155 K. Rahmani, P. Mishra, and S. Ray, Efficient trace signal selection using augmentation and ILP techniques", International Symposium on Quality Electronic Design (ISQED), 2014, pp. 148–155
14.
Zurück zum Zitat S. Prabhakar and M. Hsiao (2009) Using non-trivial logic implications for trace buffer-based silicon debug. In: Asian Test Symposium, ATS. pp. 131–136 S. Prabhakar and M. Hsiao (2009) Using non-trivial logic implications for trace buffer-based silicon debug. In: Asian Test Symposium, ATS. pp. 131–136
15.
Zurück zum Zitat Li M, Davoodi A (2014) A hybrid approach for fast and accurate trace signal selection for post-silicon debug. IEEE Transactions Computer-Aided Design of Integrated Circuits and Systems 33(7):1081–1094CrossRef Li M, Davoodi A (2014) A hybrid approach for fast and accurate trace signal selection for post-silicon debug. IEEE Transactions Computer-Aided Design of Integrated Circuits and Systems 33(7):1081–1094CrossRef
16.
Zurück zum Zitat H. F. Ko and N. Nicolici, Combining scan and trace buffers for enhancing real-time observability in post-silicon debugging, In the Proceedings of 15th IEEE Europe Test Symposium (ETS), 2010, pp. 62–67 H. F. Ko and N. Nicolici, Combining scan and trace buffers for enhancing real-time observability in post-silicon debugging, In the Proceedings of 15th IEEE Europe Test Symposium (ETS), 2010, pp. 62–67
17.
Zurück zum Zitat K. Rahmani and P. Mishra, Efficient signal selection using finegrained combination of scan and trace buffers, In Proceedings of 26th international conference VLSI design, 2013,pp. 308–313 K. Rahmani and P. Mishra, Efficient signal selection using finegrained combination of scan and trace buffers, In Proceedings of 26th international conference VLSI design, 2013,pp. 308–313
18.
Zurück zum Zitat H. F. Ko and N. Nicolici, Automated trace signals selection using the RTL descriptions, In Proceedings international test conference, 2011, pp. 1–10 H. F. Ko and N. Nicolici, Automated trace signals selection using the RTL descriptions, In Proceedings international test conference, 2011, pp. 1–10
23.
Zurück zum Zitat J. Dean and S. Ghemawat “MapReduce: Simplified Data Processing on Large Clusters”,OSDI'04: ,In the proceedings of Sixth Symposium on Operating System Design and Implementation(OSDI'04), 2004 J. Dean and S. Ghemawat “MapReduce: Simplified Data Processing on Large Clusters”,OSDI'04: ,In the proceedings of Sixth Symposium on Operating System Design and Implementation(OSDI'04), 2004
24.
Zurück zum Zitat T. White, “Hadoop: The Definitive Guide”, 2015, 4th Edition, ISBN: 978-1-491-90163-2 T. White, “Hadoop: The Definitive Guide”, 2015, 4th Edition, ISBN: 978-1-491-90163-2
26.
Zurück zum Zitat D. Pelleg, A. Moore “X-means: extending K-means with efficient estimation of the number of clusters”, In Proceedings of the international conference on machine learning, ICML, 2000 D. Pelleg, A. Moore “X-means: extending K-means with efficient estimation of the number of clusters”, In Proceedings of the international conference on machine learning, ICML, 2000
27.
Zurück zum Zitat M. Narasimha, V. Susheela “Pattern Recognition, An Algorithmic Based Approach”, 2011,Springer 978–0–85729-495-1, pp 48–85 M. Narasimha, V. Susheela “Pattern Recognition, An Algorithmic Based Approach”, 2011,Springer 978–0–85729-495-1, pp 48–85
28.
Zurück zum Zitat Manning CD, Raghav P, Schultz H (2008) Introduction to information retrieval. Cambridge University Press, ISBN 0521865719 Manning CD, Raghav P, Schultz H (2008) Introduction to information retrieval. Cambridge University Press, ISBN 0521865719
29.
Zurück zum Zitat D. Arthur, S. Vassilvitskii, "k-means++: the advantages of careful seeding”, In the Proceedings of the 18th ACM-SIAM symposium on Discrete algorithms, 2007,PP. 1027–1035 D. Arthur, S. Vassilvitskii, "k-means++: the advantages of careful seeding”, In the Proceedings of the 18th ACM-SIAM symposium on Discrete algorithms, 2007,PP. 1027–1035
31.
Zurück zum Zitat Y. Serrestou, V. Beroulle and C. Robach,“Functional Verification of RTL Designs Driven by Mutation Testing Metrics”, In Proceedings of Digital System Design Architectures, Methods and Tools(DSD), 2007, PP 222–227 Y. Serrestou, V. Beroulle and C. Robach,“Functional Verification of RTL Designs Driven by Mutation Testing Metrics”, In Proceedings of Digital System Design Architectures, Methods and Tools(DSD), 2007, PP 222–227
Metadaten
Titel
Application of Machine Learning Techniques in Post-Silicon Debugging and Bug Localization
verfasst von
Eman El Mandouh
Amr G. Wassal
Publikationsdatum
15.03.2018
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 2/2018
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-018-5716-y

Weitere Artikel der Ausgabe 2/2018

Journal of Electronic Testing 2/2018 Zur Ausgabe

EditorialNotes

Editorial

Neuer Inhalt