Skip to main content
Erschienen in: Real-Time Systems 3/2016

01.05.2016

A framework for memory contention analysis in multi-core platforms

verfasst von: Dakshina Dasari, Vincent Nelis, Benny Akesson

Erschienen in: Real-Time Systems | Ausgabe 3/2016

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The last decade has witnessed a major shift towards the deployment of embedded applications on multi-core platforms. However, real-time applications have not been able to fully benefit from this transition, as the computational gains offered by multi-cores are often offset by performance degradation due to shared resources, such as main memory. To efficiently use multi-core platforms for real-time systems, it is hence essential to tightly bound the interference when accessing shared resources. Although there has been much recent work in this area, a remaining key problem is to address the diversity of memory arbiters in the analysis to make it applicable to a wide range of systems. This work handles diverse arbiters by proposing a general framework to compute the maximum interference caused by the shared memory bus and its impact on the execution time of the tasks running on the cores, considering different bus arbiters. Our novel approach clearly demarcates the arbiter-dependent and independent stages in the analysis of these upper bounds. The arbiter-dependent phase takes the arbiter and the task memory-traffic pattern as inputs and produces a model of the availability of the bus to a given task. Then, based on the availability of the bus, the arbiter-independent phase determines the worst-case request-release scenario that maximizes the interference experienced by the tasks due to the contention for the bus. We show that the framework addresses the diversity problem by applying it to a memory bus shared by a fixed-priority arbiter, a time-division multiplexing (TDM) arbiter, and an unspecified work-conserving arbiter using applications from the MediaBench test suite. We also experimentally evaluate the quality of the analysis by comparison with a state-of-the-art TDM analysis approach and consistently showing a considerable reduction in maximum interference.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Anhänge
Nur mit Berechtigung zugänglich
Literatur
Zurück zum Zitat Akesson B, Goossens K (2011) Architectures and modeling of predictable memory controllers for improved system integration. In: Design, automation test in Europe conference exhibition (DATE), 2011, pp 1–6 Akesson B, Goossens K (2011) Architectures and modeling of predictable memory controllers for improved system integration. In: Design, automation test in Europe conference exhibition (DATE), 2011, pp 1–6
Zurück zum Zitat Akesson B, Hansson A, Goossens K (2009) Composable resource sharing based on latency-rate servers. In: 12th Euromicro conference on digital system design, architectures, methods and tools, 2009, DSD’09. IEEE Computer Society, Washington, DC, pp 547–555 Akesson B, Hansson A, Goossens K (2009) Composable resource sharing based on latency-rate servers. In: 12th Euromicro conference on digital system design, architectures, methods and tools, 2009, DSD’09. IEEE Computer Society, Washington, DC, pp 547–555
Zurück zum Zitat Andersson B, Easwaran A, Lee J (2010) Finding an upper bound on the increase in execution time due to contention on the memory bus in COTS-based multicore systems. ACM Sigbed Rev 7(1):4CrossRef Andersson B, Easwaran A, Lee J (2010) Finding an upper bound on the increase in execution time due to contention on the memory bus in COTS-based multicore systems. ACM Sigbed Rev 7(1):4CrossRef
Zurück zum Zitat Austin T, Larson E, Ernst D (2002) SimpleScalar: an infrastructure for computer system modeling. Computer 35(2):59–67CrossRef Austin T, Larson E, Ernst D (2002) SimpleScalar: an infrastructure for computer system modeling. Computer 35(2):59–67CrossRef
Zurück zum Zitat Behnam M, Inam R, Nolte T, Sjödin M (2013) Multi-core composability in the face of memory-bus contention. SIGBED Rev 10(3):35–42CrossRef Behnam M, Inam R, Nolte T, Sjödin M (2013) Multi-core composability in the face of memory-bus contention. SIGBED Rev 10(3):35–42CrossRef
Zurück zum Zitat Benini, L., Flamand, E., Fuin, D., Melpignano, D.: P2012: Building an ecosystem for a scalable, modular and high-efficiency embedded computing accelerator. In: Proc. of Design, Automation and Test in Europe Conference, pp. 983–987 (2012) Benini, L., Flamand, E., Fuin, D., Melpignano, D.: P2012: Building an ecosystem for a scalable, modular and high-efficiency embedded computing accelerator. In: Proc. of Design, Automation and Test in Europe Conference, pp. 983–987 (2012)
Zurück zum Zitat Chattopadhyay S, Chong LK, Roychoudhury A, Kelter T, Marwedel P, Falk H (2014) A unified WCET analysis framework for multicore platforms. ACM Trans Embed Comput Syst 13(4s):124:1–124:29 Chattopadhyay S, Chong LK, Roychoudhury A, Kelter T, Marwedel P, Falk H (2014) A unified WCET analysis framework for multicore platforms. ACM Trans Embed Comput Syst 13(4s):124:1–124:29
Zurück zum Zitat Chattopadhyay S, Roychoudhury A, Mitra T (2010) Modeling shared cache and bus in multi-cores for timing analysis. In: Proceedings of the 13th international workshop on software & compilers for embedded systems, pp 6:1–6:10 Chattopadhyay S, Roychoudhury A, Mitra T (2010) Modeling shared cache and bus in multi-cores for timing analysis. In: Proceedings of the 13th international workshop on software & compilers for embedded systems, pp 6:1–6:10
Zurück zum Zitat Dasari D, Akesson B, Nelis V, Awan MAA, Petters SM (2013) Identifying the sources of unpredictability in COTS-based multicore systems. In: 8th IEEE international symposium on industrial embedded systems (SIES) Dasari D, Akesson B, Nelis V, Awan MAA, Petters SM (2013) Identifying the sources of unpredictability in COTS-based multicore systems. In: 8th IEEE international symposium on industrial embedded systems (SIES)
Zurück zum Zitat Dasari D, Andersson B, Nelis V, Petters SM, Easwaran A, Lee J (2011) Response time analysis of COTS-based multicores considering the contention on the shared memory bus. In: IEEE 10th international conference on trust, security and privacy in computing and communications, pp 1068–1075 Dasari D, Andersson B, Nelis V, Petters SM, Easwaran A, Lee J (2011) Response time analysis of COTS-based multicores considering the contention on the shared memory bus. In: IEEE 10th international conference on trust, security and privacy in computing and communications, pp 1068–1075
Zurück zum Zitat Dasari D, Nelis V (2012) An analysis of the impact of bus contention on the WCET in multicores. In: IEEE 9th international conference on embedded software and systems (HPCC-ICESS), pp 1450–1457 Dasari D, Nelis V (2012) An analysis of the impact of bus contention on the WCET in multicores. In: IEEE 9th international conference on embedded software and systems (HPCC-ICESS), pp 1450–1457
Zurück zum Zitat Gustafsson J, Betts A, Ermedahl A, Lisper B (2010) The Mälardalen WCET benchmarks—past, present and future. OCG, Brussels, pp 137–147 Gustafsson J, Betts A, Ermedahl A, Lisper B (2010) The Mälardalen WCET benchmarks—past, present and future. OCG, Brussels, pp 137–147
Zurück zum Zitat Hara Y, Tomiyama H, Honda S, Takada H, Ishii K (2008) CHStone: a benchmark program suite for practical c-based high-level synthesis. In: IEEE international symposium on circuits and systems, 2008, ISCAS 2008, pp 1192–1195 Hara Y, Tomiyama H, Honda S, Takada H, Ishii K (2008) CHStone: a benchmark program suite for practical c-based high-level synthesis. In: IEEE international symposium on circuits and systems, 2008, ISCAS 2008, pp 1192–1195
Zurück zum Zitat IEC 61508 (2010) Functional safety of electrical/electronic/programmable electronic safety-related systems IEC 61508 (2010) Functional safety of electrical/electronic/programmable electronic safety-related systems
Zurück zum Zitat JEDEC Solid State Technology Association (2012) DDR3 SDRAM specification, JESD79-3F edn JEDEC Solid State Technology Association (2012) DDR3 SDRAM specification, JESD79-3F edn
Zurück zum Zitat Kelter T, Falk H, Marwedel P, Chattopadhyay S, Roychoudhury A (2011) Bus-aware multicore WCET analysis through TDMA offset bounds. In: Proceedings of the 2011 Euromicro conference on real-time systems, pp 3–12 Kelter T, Falk H, Marwedel P, Chattopadhyay S, Roychoudhury A (2011) Bus-aware multicore WCET analysis through TDMA offset bounds. In: Proceedings of the 2011 Euromicro conference on real-time systems, pp 3–12
Zurück zum Zitat Kollig P, Osborne C, Henriksson T (2009) Heterogeneous multi-core platform for consumer multimedia applications. In: Proceedings of design, automation and test in Europe conference Kollig P, Osborne C, Henriksson T (2009) Heterogeneous multi-core platform for consumer multimedia applications. In: Proceedings of design, automation and test in Europe conference
Zurück zum Zitat Lee C, Potkonjak M, Mangione-Smith W (1997) Mediabench: a tool for evaluating and synthesizing multimedia and communicatons systems. In: Proceedings of ACM/IEEE international symposium on microarchitecture, pp 330–335 Lee C, Potkonjak M, Mangione-Smith W (1997) Mediabench: a tool for evaluating and synthesizing multimedia and communicatons systems. In: Proceedings of ACM/IEEE international symposium on microarchitecture, pp 330–335
Zurück zum Zitat Li Y, Akesson B, Goossens K (2014) Dynamic command scheduling for real-time memory controllers. In: 26th Euromicro conference on real-time systems (ECRTS), pp 3–14 Li Y, Akesson B, Goossens K (2014) Dynamic command scheduling for real-time memory controllers. In: 26th Euromicro conference on real-time systems (ECRTS), pp 3–14
Zurück zum Zitat Nowotsch, J., Paulitsch, M.: Leveraging multi-core computing architectures in avionics. In: 2012 Ninth European dependable computing conference (EDCC), pp 132–143. IEEE, Washington, DC (2012) Nowotsch, J., Paulitsch, M.: Leveraging multi-core computing architectures in avionics. In: 2012 Ninth European dependable computing conference (EDCC), pp 132–143. IEEE, Washington, DC (2012)
Zurück zum Zitat Nowotsch J, Paulitsch M, Henrichsen A, Pongratz W, Schacht A (2014) Monitoring and wcet analysis in cots multi-core-soc-based mixed-criticality systems. In: Design, automation and test in Europe conference and exhibition (DATE), pp 1–5 Nowotsch J, Paulitsch M, Henrichsen A, Pongratz W, Schacht A (2014) Monitoring and wcet analysis in cots multi-core-soc-based mixed-criticality systems. In: Design, automation and test in Europe conference and exhibition (DATE), pp 1–5
Zurück zum Zitat Paolieri M, Quinones E, Cazorla F, Valero M (2009) An analyzable memory controller for hard real-time CMPs. IEEE Embe Syst Lett 1(4):86–90CrossRef Paolieri M, Quinones E, Cazorla F, Valero M (2009) An analyzable memory controller for hard real-time CMPs. IEEE Embe Syst Lett 1(4):86–90CrossRef
Zurück zum Zitat Pellizzoni R, Schranzhofer A, Chen JJ, Caccamo M, Thiele L (2010) Worst case delay analysis for memory interference in multicore systems. In: Conference on design, automation and test in Europe, pp 741–746 Pellizzoni R, Schranzhofer A, Chen JJ, Caccamo M, Thiele L (2010) Worst case delay analysis for memory interference in multicore systems. In: Conference on design, automation and test in Europe, pp 741–746
Zurück zum Zitat Reineke J, Liu I, Patel H, Kim S, Lee EA (2011) PRET DRAM controller: bank privatization for predictability and temporal isolation. In: CODES+ISSS ’11: proceedings of the IEEE/ACM international conference on Hardware/software codesign and system synthesis, pp 99–108 Reineke J, Liu I, Patel H, Kim S, Lee EA (2011) PRET DRAM controller: bank privatization for predictability and temporal isolation. In: CODES+ISSS ’11: proceedings of the IEEE/ACM international conference on Hardware/software codesign and system synthesis, pp 99–108
Zurück zum Zitat Rodrigues V, Akesson B, Melo de Sousa S, Florido M (2013) A declarative compositional timing analysis for multicores using the latency-rate abstraction. Practical aspects of declarative languages. Lecture Notes in Computer Science, vol 7752. Springer, Berlin Heidelberg, pp 43–59 Rodrigues V, Akesson B, Melo de Sousa S, Florido M (2013) A declarative compositional timing analysis for multicores using the latency-rate abstraction. Practical aspects of declarative languages. Lecture Notes in Computer Science, vol 7752. Springer, Berlin Heidelberg, pp 43–59
Zurück zum Zitat Rosén J, Andrei A, Eles P, Peng Z (2007) Bus access optimization for predictable implementation of real-time applications on multiprocessor systems-on-chip. In: Proceedings of the real-time systems symposium, pp 49–60 Rosén J, Andrei A, Eles P, Peng Z (2007) Bus access optimization for predictable implementation of real-time applications on multiprocessor systems-on-chip. In: Proceedings of the real-time systems symposium, pp 49–60
Zurück zum Zitat Schliecker S, Ernst R (2011) Real-time performance analysis of multiprocessor systems with shared memory. ACM Trans Embed Comput Syst 10:22:1–22:27 Schliecker S, Ernst R (2011) Real-time performance analysis of multiprocessor systems with shared memory. ACM Trans Embed Comput Syst 10:22:1–22:27
Zurück zum Zitat Schliecker S, Negrean M, Ernst R (2010) Bounding the shared resource load for the performance analysis of multiprocessor systems. In: Proceedings of the conference on design, automation and test in Europe, pp 759–764 Schliecker S, Negrean M, Ernst R (2010) Bounding the shared resource load for the performance analysis of multiprocessor systems. In: Proceedings of the conference on design, automation and test in Europe, pp 759–764
Zurück zum Zitat Schranzhofer A, Chen JJ, Thiele L (2010) Timing analysis for TDMA arbitration in resource sharing systems. In: 16th IEEE real-time and embedded technology and applications symposium, pp 215–224 Schranzhofer A, Chen JJ, Thiele L (2010) Timing analysis for TDMA arbitration in resource sharing systems. In: 16th IEEE real-time and embedded technology and applications symposium, pp 215–224
Zurück zum Zitat Schranzhofer A, Pellizzoni R, Chen JJ, Thiele L, Caccamo M (2010) Worst-case response time analysis of resource access models in multi-core systems. In: Proceedings of the 47th design automation conference. DAC’10. ACM, New York, pp 332–337 Schranzhofer A, Pellizzoni R, Chen JJ, Thiele L, Caccamo M (2010) Worst-case response time analysis of resource access models in multi-core systems. In: Proceedings of the 47th design automation conference. DAC’10. ACM, New York, pp 332–337
Zurück zum Zitat Schranzhofer A, Pellizzoni R, Chen JJ, Thiele L, Caccamo M (2011) Timing analysis for resource access interference on adaptive resource arbiters. In: Real-time and embedded technology and applications symposium Schranzhofer A, Pellizzoni R, Chen JJ, Thiele L, Caccamo M (2011) Timing analysis for resource access interference on adaptive resource arbiters. In: Real-time and embedded technology and applications symposium
Zurück zum Zitat Shah H, Raabe A, Knoll A (2012) Bounding WCET of applications using SDRAM with priority based budget scheduling in MPSoCs. In: Design, automation test in Europe conference exhibition (DATE), pp 665–670 Shah H, Raabe A, Knoll A (2012) Bounding WCET of applications using SDRAM with priority based budget scheduling in MPSoCs. In: Design, automation test in Europe conference exhibition (DATE), pp 665–670
Zurück zum Zitat Thiele L, Chakraborty S, Naedele M (2000) Real-time calculus for scheduling hard real-time systems. In: The 2000 IEEE international symposium on circuits and systems, 2000. ISCAS 2000, Geneva, vol 4. IEEE Computer Society Press, Washington, DC, pp 101–104 Thiele L, Chakraborty S, Naedele M (2000) Real-time calculus for scheduling hard real-time systems. In: The 2000 IEEE international symposium on circuits and systems, 2000. ISCAS 2000, Geneva, vol 4. IEEE Computer Society Press, Washington, DC, pp 101–104
Zurück zum Zitat van Berkel C (2009) Multi-core for mobile phones. In: Proceedings of design, automation and test in Europe conference, pp 1260–1265 van Berkel C (2009) Multi-core for mobile phones. In: Proceedings of design, automation and test in Europe conference, pp 1260–1265
Zurück zum Zitat Wenzel I, Kirner R, Rieder B, Puschner P (2009) Measurement-based timing analysis. Leveraging applications of formal methods verification and validation. Springer, Berlin Wenzel I, Kirner R, Rieder B, Puschner P (2009) Measurement-based timing analysis. Leveraging applications of formal methods verification and validation. Springer, Berlin
Zurück zum Zitat Wilhelm R, Engblom J, Ermedahl A, Holsti N, Thesing S, Whalley D, Bernat G, Ferdinand C, Heckmann R, Mitra T, Mueller F, Puaut I, Puschner P, Staschulat J, Stenström P (2008) The worst-case execution-time problem - overview of methods and survey of tools. ACM Trans Embed Comput Syst 7:36:1–36:53 Wilhelm R, Engblom J, Ermedahl A, Holsti N, Thesing S, Whalley D, Bernat G, Ferdinand C, Heckmann R, Mitra T, Mueller F, Puaut I, Puschner P, Staschulat J, Stenström P (2008) The worst-case execution-time problem - overview of methods and survey of tools. ACM Trans Embed Comput Syst 7:36:1–36:53
Zurück zum Zitat Wilhelm R, Grund D, Reineke J, Schlickling M, Pister M, Ferdinand C (2009) Memory hierarchies, pipelines, and buses for future architectures in time-critical embedded systems. Trans Comput-Aided Des Integ Circ Syst 28(7):966–978CrossRef Wilhelm R, Grund D, Reineke J, Schlickling M, Pister M, Ferdinand C (2009) Memory hierarchies, pipelines, and buses for future architectures in time-critical embedded systems. Trans Comput-Aided Des Integ Circ Syst 28(7):966–978CrossRef
Zurück zum Zitat Wu ZP, Krish Y, Pellizzoni R (2013) Worst case analysis of DRAM latency in multi-requestor systems. In: Proceedings of IEEE real-time systems symposium Wu ZP, Krish Y, Pellizzoni R (2013) Worst case analysis of DRAM latency in multi-requestor systems. In: Proceedings of IEEE real-time systems symposium
Zurück zum Zitat Yun H, Yao G, Pellizzoni R, Caccamo M, Sha L (2012) Memory access control in multiprocessor for real-time systems with mixed criticality. In: 24th Euromicro conference on real-time systems (ECRTS), pp 299–308 Yun H, Yao G, Pellizzoni R, Caccamo M, Sha L (2012) Memory access control in multiprocessor for real-time systems with mixed criticality. In: 24th Euromicro conference on real-time systems (ECRTS), pp 299–308
Zurück zum Zitat Yun H, Yao G, Pellizzoni R, Caccamo M, Sha L (2013) Memguard: memory bandwidth reservation system for efficient performance isolation in multi-core platforms. In: IEEE 19th real-time and embedded technology and applications symposium (RTAS). IEEE Computer Society Press, Washington, DC, pp 55–64 Yun H, Yao G, Pellizzoni R, Caccamo M, Sha L (2013) Memguard: memory bandwidth reservation system for efficient performance isolation in multi-core platforms. In: IEEE 19th real-time and embedded technology and applications symposium (RTAS). IEEE Computer Society Press, Washington, DC, pp 55–64
Zurück zum Zitat Zhou M, Bock S, Ferreira A, Childers B, Melhem R, Mosse D (2011) Real-time scheduling for phase change main memory systems. In: TrustCom, ICESS11, pp 991–998 Zhou M, Bock S, Ferreira A, Childers B, Melhem R, Mosse D (2011) Real-time scheduling for phase change main memory systems. In: TrustCom, ICESS11, pp 991–998
Metadaten
Titel
A framework for memory contention analysis in multi-core platforms
verfasst von
Dakshina Dasari
Vincent Nelis
Benny Akesson
Publikationsdatum
01.05.2016
Verlag
Springer US
Erschienen in
Real-Time Systems / Ausgabe 3/2016
Print ISSN: 0922-6443
Elektronische ISSN: 1573-1383
DOI
https://doi.org/10.1007/s11241-015-9229-9

Premium Partner