Skip to main content
Erschienen in: Wireless Personal Communications 1/2023

13.03.2023

Low Power and Suppressed Noise 6T, 7T SRAM Cell Using 18 nm FinFET

verfasst von: T. Santosh Kumar, Suman Lata Tripathi

Erschienen in: Wireless Personal Communications | Ausgabe 1/2023

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The fundamental components of maximum digital devices are memories and therefore stability, performance and efficiency of the system can be modified by decreasing the power requirement of memory. SRAM cells have less leakage making them suitable for portable and embedded devices. FinFETs are proven to be a promising candidate with high performance low power consumption features at lower technology nodes. Low power is one of the major concerns of a designer working on semiconductor memories which is possible by transistor leakage current reduction. In this work, a comparative analysis of CMOS, FinFET-based 6T and 7T SRAM cells is performed to obtain low leakage or low static power consumption with the increased value of static noise margin (SNM). It is found that an SRAM cell designed with 18 nm FinFET, has better stability and power handling capability compared to other CMOS-based SRAM’s. A high value of SNM is obtained in the FinFET-based 7T SRAM cell with static power consumption reduced by 20.2% for write ‘0’ process, 15.36% for write ‘1’ process, 18.62% for read ‘0’ process and 22.37% for read ‘1’ process respectively compared to CMOS 7T SRAM. The proposed 7T FinFET SRAM has 2.64 times more Read SNM; 1.082 times more Hold SNM and 1.064 times Write SNM compared to CMOS 7T SRAM. All the designs and simulations are carried out using Cadence Virtuoso ADE.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat International Technology Roadmap for Semiconductors. (2012). International Technology Roadmap for Semiconductors. (2012).
3.
Zurück zum Zitat Kumar, T. S., Tripathi, S. L., & Sinha, S. K. (2020). Comparative analysis of leakage power in 18 nm 7T and 8T SRAM cell implemented with SVL Technique. In International conference on intelligent engineering and management (ICIEM) (pp. 121–124), London, United Kingdom. https://doi.org/10.1109/ICIEM48762.2020.9160028 Kumar, T. S., Tripathi, S. L., & Sinha, S. K. (2020). Comparative analysis of leakage power in 18 nm 7T and 8T SRAM cell implemented with SVL Technique. In International conference on intelligent engineering and management (ICIEM) (pp. 121–124), London, United Kingdom. https://​doi.​org/​10.​1109/​ICIEM48762.​2020.​9160028
4.
Zurück zum Zitat Kim, T.-H., Liu, J., Keane, J., & Kim, C. H. (2008). A 0.2 V, 480 kb subthreshold SRAM with 1 k cells per bitline for ultra-low-voltage computing. IEEE Journal of Solid-State Circuits, 43, 518–529.CrossRef Kim, T.-H., Liu, J., Keane, J., & Kim, C. H. (2008). A 0.2 V, 480 kb subthreshold SRAM with 1 k cells per bitline for ultra-low-voltage computing. IEEE Journal of Solid-State Circuits, 43, 518–529.CrossRef
9.
Zurück zum Zitat Chang, I. J., Kim, J. J., Park, S. P., & Roy, K. (2008). A 32 kb 10 T subthreshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS. ISSCC Digest of Technical Papers, 3–7, 388–622. Chang, I. J., Kim, J. J., Park, S. P., & Roy, K. (2008). A 32 kb 10 T subthreshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS. ISSCC Digest of Technical Papers, 3–7, 388–622.
10.
Zurück zum Zitat Athe, P., & Dasgupta, S. (2009). A comparative study of 6T, 8T and 9T Deca nano SRAM cell. In IEEE symposium on industrial electronics & applications (pp. 889–894). Athe, P., & Dasgupta, S. (2009). A comparative study of 6T, 8T and 9T Deca nano SRAM cell. In IEEE symposium on industrial electronics & applications (pp. 889–894).
11.
Zurück zum Zitat Mazreah A. A., Sahebi, M. R., Manzuri M. T., & Javad Hosseini, S. (2008). A novel zero-aware four-transistor SRAM cell for high density and low power cache application. In International conference on advanced computer theory and engineering (pp. 571–575), IEEE. Mazreah A. A., Sahebi, M. R., Manzuri M. T., & Javad Hosseini, S. (2008). A novel zero-aware four-transistor SRAM cell for high density and low power cache application. In International conference on advanced computer theory and engineering (pp. 571–575), IEEE.
12.
Zurück zum Zitat Lin, S., Kim, Y. B., & Lombardi, F. (2008). A 32nm SRAM design for low power and high stability. In 51st Midwest symposium on circuits and systems (pp. 422–425). IEEE. Lin, S., Kim, Y. B., & Lombardi, F. (2008). A 32nm SRAM design for low power and high stability. In 51st Midwest symposium on circuits and systems (pp. 422–425). IEEE.
13.
Zurück zum Zitat Upadhyay P., Mehra R., & Thakur N. (2010). Low power design of an SRAM cell for portable devices. In International conference on computer and communication technology (ICCCT) (pp. 255–259), IEEE. Upadhyay P., Mehra R., & Thakur N. (2010). Low power design of an SRAM cell for portable devices. In International conference on computer and communication technology (ICCCT) (pp. 255–259), IEEE.
14.
Zurück zum Zitat Birla, S., Shukla, N. K., Pattanaik, M., & Singh, R. K. (2010). Device-and-circuit-design-challenges-for-low-leakage-SRAM for ultra low power applications. Canadian Journal on Electrical & Electronics Engineering, 1(7), 1–12. Birla, S., Shukla, N. K., Pattanaik, M., & Singh, R. K. (2010). Device-and-circuit-design-challenges-for-low-leakage-SRAM for ultra low power applications. Canadian Journal on Electrical & Electronics Engineering, 1(7), 1–12.
15.
Zurück zum Zitat Chen, G., Sylvester, D., Blaauw, D., & Mudge, T. (2010). Yield-driven near-threshold SRAM design. IEEE Transaction on VLSI System, 18, 1590–1598.CrossRef Chen, G., Sylvester, D., Blaauw, D., & Mudge, T. (2010). Yield-driven near-threshold SRAM design. IEEE Transaction on VLSI System, 18, 1590–1598.CrossRef
16.
Zurück zum Zitat Karpuzcu U. R., Sinkar A., Kim N. S., & Torrellas J. (2013). Energy smart: Toward energy efficient many cores for near-threshold computing. In Proceedings of IEEE HPCA (pp. 542–553). Karpuzcu U. R., Sinkar A., Kim N. S., & Torrellas J. (2013). Energy smart: Toward energy efficient many cores for near-threshold computing. In Proceedings of IEEE HPCA (pp. 542–553).
17.
Zurück zum Zitat Dreslinski R. G., Wieckowski M., Blaauw D., Sylvester D., & Mudge T. (2010). Nearthreshold computing: Reclaiming Moore's law through energy efficient integrated circuits. In Proceedings 98 (pp. 253–266), IEEE. Dreslinski R. G., Wieckowski M., Blaauw D., Sylvester D., & Mudge T. (2010). Nearthreshold computing: Reclaiming Moore's law through energy efficient integrated circuits. In Proceedings 98 (pp. 253–266), IEEE.
18.
Zurück zum Zitat Calhoun, B. H., & Brooks, D. (2010). Can subthreshold and near-threshold circuits go mainstream? IEEE Micro, 30, 80–85.CrossRef Calhoun, B. H., & Brooks, D. (2010). Can subthreshold and near-threshold circuits go mainstream? IEEE Micro, 30, 80–85.CrossRef
19.
Zurück zum Zitat Rathod, S. S., Saxena, A. K., & Dasgupta, S. (2010). A proposed DG-FinFET based SRAM cell design with RadHard capabilities. Microelectronics Reliability, 50(8), 1039–1190.CrossRef Rathod, S. S., Saxena, A. K., & Dasgupta, S. (2010). A proposed DG-FinFET based SRAM cell design with RadHard capabilities. Microelectronics Reliability, 50(8), 1039–1190.CrossRef
21.
Zurück zum Zitat Zhai, B., Hanson, S., Blaauw, D., & Sylveste, D. (2008). A variation-tolerant sub-200 mV 6-T subthreshold SRAM. IEEE Journal of Solid-State Circuits, 43, 2338–2348.CrossRef Zhai, B., Hanson, S., Blaauw, D., & Sylveste, D. (2008). A variation-tolerant sub-200 mV 6-T subthreshold SRAM. IEEE Journal of Solid-State Circuits, 43, 2338–2348.CrossRef
22.
Zurück zum Zitat Rahman, N., Dhiman, G., & Singh, B. P. (2013). Static-noise margin analysis during read operation of 6T SRAM cells. International Journal on Recent Trends in Engineering and Technology, 8, 47–51. Rahman, N., Dhiman, G., & Singh, B. P. (2013). Static-noise margin analysis during read operation of 6T SRAM cells. International Journal on Recent Trends in Engineering and Technology, 8, 47–51.
23.
Zurück zum Zitat Madiwalar, B., & Kariappa, B. S. (2013). Single bit-line 7T SRAM cell for Low Power and high SNM (pp. 223–239). IEEE. Madiwalar, B., & Kariappa, B. S. (2013). Single bit-line 7T SRAM cell for Low Power and high SNM (pp. 223–239). IEEE.
24.
Zurück zum Zitat Ansari, M., Kusha, H. A., Ebrahimi, B., Navabi, Z., Kushaa, A. A., & Pedram, M. (2015). A near-threshold 7T SRAM cell with high write and read marginsand low write time for sub-20 nm FinFET technologies. Integration the VLSI Journal, 50, 91–106.CrossRef Ansari, M., Kusha, H. A., Ebrahimi, B., Navabi, Z., Kushaa, A. A., & Pedram, M. (2015). A near-threshold 7T SRAM cell with high write and read marginsand low write time for sub-20 nm FinFET technologies. Integration the VLSI Journal, 50, 91–106.CrossRef
25.
Zurück zum Zitat Pahujaa, H., Tyagib, M., Panday, S., & Singha, B. (2017). A novel single-ended 9T FinFET sub-threshold SRAM cell with high operating margins and low write power for low voltage operations. Integration the VLSI Journal, 60, 99–116.CrossRef Pahujaa, H., Tyagib, M., Panday, S., & Singha, B. (2017). A novel single-ended 9T FinFET sub-threshold SRAM cell with high operating margins and low write power for low voltage operations. Integration the VLSI Journal, 60, 99–116.CrossRef
26.
Zurück zum Zitat Jethabhai, M., Imachia, L., Thakker, R. A., & Kothari, N. J. (2018). Characterization of a novel 10T SRAM cell with improved data stability and delay performance for 20-nm tri-gated FinFET technology. Circuit World, 44, 187–194.CrossRef Jethabhai, M., Imachia, L., Thakker, R. A., & Kothari, N. J. (2018). Characterization of a novel 10T SRAM cell with improved data stability and delay performance for 20-nm tri-gated FinFET technology. Circuit World, 44, 187–194.CrossRef
27.
Zurück zum Zitat Seevinck, E., List, F. J., & Lohstroh, J. (1987). Static-noise margin analysis of MOS SRAM cells. IEEE Journal of Solid-State Circuits, 22, 748–754.CrossRef Seevinck, E., List, F. J., & Lohstroh, J. (1987). Static-noise margin analysis of MOS SRAM cells. IEEE Journal of Solid-State Circuits, 22, 748–754.CrossRef
28.
Zurück zum Zitat Calhoun, B. H., & Chandrakasan, A. (2005). Analyzing static noise margin for sub threshold SRAM in 65nm CMOS. In Solid-state circuits conference IEEE. Calhoun, B. H., & Chandrakasan, A. (2005). Analyzing static noise margin for sub threshold SRAM in 65nm CMOS. In Solid-state circuits conference IEEE.
29.
Zurück zum Zitat Guo, Z., Carlson, A., Pang, L. T., Duong, K. T., Liu, T. J. K., & Nikolic, B. (2009). Large-scale SRAM variability characterization in 45 nm CMOS. IEEE Journal of Solid-State Circuits, 44(11), 3174–3191.CrossRef Guo, Z., Carlson, A., Pang, L. T., Duong, K. T., Liu, T. J. K., & Nikolic, B. (2009). Large-scale SRAM variability characterization in 45 nm CMOS. IEEE Journal of Solid-State Circuits, 44(11), 3174–3191.CrossRef
30.
Zurück zum Zitat Calhoun, B. H. S., & Chandrakasan, A. P. (2007). A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation. IEEE Journal of Solid-State Circuits, 42(3), 680–688.CrossRef Calhoun, B. H. S., & Chandrakasan, A. P. (2007). A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation. IEEE Journal of Solid-State Circuits, 42(3), 680–688.CrossRef
31.
Zurück zum Zitat Ensan, S. S., Moaiyeri, M. H., Moghaddam, M., & Hessabi, S. (2019). A low power single-ended SRAM in FinFET technology. AEU-International Journal of Electronics and Communications, 99, 361–368. Ensan, S. S., Moaiyeri, M. H., Moghaddam, M., & Hessabi, S. (2019). A low power single-ended SRAM in FinFET technology. AEU-International Journal of Electronics and Communications, 99, 361–368.
33.
Zurück zum Zitat Sominenia R. P., Madhavib B. K., & Kishore K. L. (2015). Low leakage CNTFET SRAM cells. In International conference on recent trends in computing 2015 (ICRTC-2015). Elsevier. Sominenia R. P., Madhavib B. K., & Kishore K. L. (2015). Low leakage CNTFET SRAM cells. In International conference on recent trends in computing 2015 (ICRTC-2015). Elsevier.
Metadaten
Titel
Low Power and Suppressed Noise 6T, 7T SRAM Cell Using 18 nm FinFET
verfasst von
T. Santosh Kumar
Suman Lata Tripathi
Publikationsdatum
13.03.2023
Verlag
Springer US
Erschienen in
Wireless Personal Communications / Ausgabe 1/2023
Print ISSN: 0929-6212
Elektronische ISSN: 1572-834X
DOI
https://doi.org/10.1007/s11277-023-10277-8

Weitere Artikel der Ausgabe 1/2023

Wireless Personal Communications 1/2023 Zur Ausgabe

Neuer Inhalt