Skip to main content
Erschienen in: Journal of Electronic Testing 6/2010

01.12.2010

On-Chip Delay Measurement Based Response Analysis for Timing Characterization

verfasst von: Ramyanshu Datta, Antony Sebastine, Ashwin Raghunathan, Gary Carpenter, Kevin Nowka, Jacob A. Abraham

Erschienen in: Journal of Electronic Testing | Ausgabe 6/2010

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

We present techniques for response analysis for timing characterization, i.e., delay test and debug of Integrated Circuits (ICs), using on-chip delay measurement of critical paths of the IC. Delay fault are a major source of failure in modern ICs designed in Deep Sub-micron technologies, making it imperative to perform delay fault testing on such ICs. Delay fault testing schemes should enable detection of gross as well as small delay faults in such ICs to be efficient. Additionally there is a need for performing efficient and systematic silicon debug for timing related failures. The timing characterization techniques presented in this paper overcome the observability limitations of existing timing characterization schemes in achieving the aforementioned goals, thus enabling quick and efficient timing characterization of DSM ICs. Additionally the schemes have low hardware overhead and are robust in face of process variations.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
1.
Zurück zum Zitat Abaskharoun N, Hafed M, Roberts GW (2001) Strategies for on-chip sub-nanosecond signal capture and timing measurements. In: International symposium oncircuits and systems, IEEE, pp 174–177 Abaskharoun N, Hafed M, Roberts GW (2001) Strategies for on-chip sub-nanosecond signal capture and timing measurements. In: International symposium oncircuits and systems, IEEE, pp 174–177
2.
Zurück zum Zitat Artisan Components Inc (2002) TSMC 0.18 μm process 1.8 Standard Cell Library Databook Artisan Components Inc (2002) TSMC 0.18 μm process 1.8 Standard Cell Library Databook
3.
Zurück zum Zitat Baker K, Gronthoud G, Lousberg M, Schanstra I, Hawkins C (1999) Defect-based delay testing of resisitive vias-contacts: a critical evaluation. In: International test conference, IEEE, pp 467–476 Baker K, Gronthoud G, Lousberg M, Schanstra I, Hawkins C (1999) Defect-based delay testing of resisitive vias-contacts: a critical evaluation. In: International test conference, IEEE, pp 467–476
4.
Zurück zum Zitat Balachandran H, Butler KM, Simpson N (2002) Facilitating rapid first silicon debug. In: International test conference, IEEE, pp 628–637 Balachandran H, Butler KM, Simpson N (2002) Facilitating rapid first silicon debug. In: International test conference, IEEE, pp 628–637
5.
Zurück zum Zitat Balajee S, Majhi AK (1998) Automated AC (timing) characterization for digital circuit testing. In: International conference on VLSI design, IEEE, pp 374–377 Balajee S, Majhi AK (1998) Automated AC (timing) characterization for digital circuit testing. In: International conference on VLSI design, IEEE, pp 374–377
6.
Zurück zum Zitat Bazes M (1985) A novel precision MOS synchronous delay line. IEEE J Solid State Circuits 20(6):1265–1271CrossRef Bazes M (1985) A novel precision MOS synchronous delay line. IEEE J Solid State Circuits 20(6):1265–1271CrossRef
7.
Zurück zum Zitat Bazes M, Ashuri R (1992) A novel CMOS digital clock and data decoder. IEEE J Solid State Circuits 27(12):1934–1940CrossRef Bazes M, Ashuri R (1992) A novel CMOS digital clock and data decoder. IEEE J Solid State Circuits 27(12):1934–1940CrossRef
8.
Zurück zum Zitat Borkar S, Karnik T, Narendra S, Tschanz J, Keshavarzi A, De V (2003) Parameter variations and impact on circuits and microarchitecture. In: Design automation conference, IEEE, pp 338–342 Borkar S, Karnik T, Narendra S, Tschanz J, Keshavarzi A, De V (2003) Parameter variations and impact on circuits and microarchitecture. In: Design automation conference, IEEE, pp 338–342
9.
Zurück zum Zitat Bota SA, Rosales M, Rossello JL, Segura J (2006) Low VDD vs. delay: is it really a good correlation metric for nanometer ICs. In: VLSI test symposium, IEEE, pp 358–363 Bota SA, Rosales M, Rossello JL, Segura J (2006) Low VDD vs. delay: is it really a good correlation metric for nanometer ICs. In: VLSI test symposium, IEEE, pp 358–363
10.
Zurück zum Zitat Bowman KA, Duvall SG, Meindl JD (2002) Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration. IEEE J Solid State Circuits 37(2):183–190CrossRef Bowman KA, Duvall SG, Meindl JD (2002) Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration. IEEE J Solid State Circuits 37(2):183–190CrossRef
11.
Zurück zum Zitat Cao Y, Sato T, Orshansky M, Sylvester D, Hu C (2000) New paradigm of predictive MOSFET and interconnect modelling for early circuit simulation. In: Custom integrated circuits conference, IEEE, pp 201–204 Cao Y, Sato T, Orshansky M, Sylvester D, Hu C (2000) New paradigm of predictive MOSFET and interconnect modelling for early circuit simulation. In: Custom integrated circuits conference, IEEE, pp 201–204
12.
Zurück zum Zitat Chan AH, Roberts GW (2001) A synthesizable fast and high-resolution timing measurement device using a component-invariant vernier delay line. In: International test conference, IEEE, pp 858–867 Chan AH, Roberts GW (2001) A synthesizable fast and high-resolution timing measurement device using a component-invariant vernier delay line. In: International test conference, IEEE, pp 858–867
13.
Zurück zum Zitat Chan AH, Roberts GW (2002) A deep sub-micron timing measurement circuit using a single stage vernier delay line. In: Custom integrated circuits conference, IEEE, pp 77–80 Chan AH, Roberts GW (2002) A deep sub-micron timing measurement circuit using a single stage vernier delay line. In: Custom integrated circuits conference, IEEE, pp 77–80
14.
Zurück zum Zitat Chang JT-Y, Mccluskey EJ (1996) Detecting delay flaws by very-low-voltage testing. In: International test conference, IEEE, pp 367–376 Chang JT-Y, Mccluskey EJ (1996) Detecting delay flaws by very-low-voltage testing. In: International test conference, IEEE, pp 367–376
15.
Zurück zum Zitat Chen P, Liu S-I, Wu J (1997) A low power high accuracy CMOS time-to-digital converter. In: International symposium on circuits and systems, IEEE, pp 281–284 Chen P, Liu S-I, Wu J (1997) A low power high accuracy CMOS time-to-digital converter. In: International symposium on circuits and systems, IEEE, pp 281–284
16.
Zurück zum Zitat Chen P, Liu S-I, Wu J (1997) Highly accurate cyclic CMOS time-to-digital converter with extremely low power consumption. IEEE Electron Lett 33(10):858–860CrossRef Chen P, Liu S-I, Wu J (1997) Highly accurate cyclic CMOS time-to-digital converter with extremely low power consumption. IEEE Electron Lett 33(10):858–860CrossRef
17.
Zurück zum Zitat Chen P, Liu S-I, Wu J (2000) A CMOS pulse-shrinking delay element for time interval measurement. IEEE Trans Circuits Syst II 47(9):954–958CrossRef Chen P, Liu S-I, Wu J (2000) A CMOS pulse-shrinking delay element for time interval measurement. IEEE Trans Circuits Syst II 47(9):954–958CrossRef
18.
Zurück zum Zitat Cheng K-H, Jiang S-Y, Chen Z-S (2003) BIST for clock jitter measurements. In: International symposium on circuits and systems, IEEE, pp V 577–V 580 Cheng K-H, Jiang S-Y, Chen Z-S (2003) BIST for clock jitter measurements. In: International symposium on circuits and systems, IEEE, pp V 577–V 580
19.
Zurück zum Zitat Christiansen J (1995) An integrated CMOS 0.15ns digital timing generator for TDCs and clock distribution systems. IEEE Trans Nucl Sci 42(4):753–757CrossRef Christiansen J (1995) An integrated CMOS 0.15ns digital timing generator for TDCs and clock distribution systems. IEEE Trans Nucl Sci 42(4):753–757CrossRef
20.
Zurück zum Zitat Dadda L (1965) Some schemes for parallel multipliers. Alta Freq 34:349–356 Dadda L (1965) Some schemes for parallel multipliers. Alta Freq 34:349–356
21.
Zurück zum Zitat Das S, Roberts D, Lee S, Pant S, Blaauw D, Austin T, Flautner K, Mudge T (2006) A self-tuning DVS processor using delay-error detection and correction. IEEE J Solid State Circuits 41(4):792–804CrossRef Das S, Roberts D, Lee S, Pant S, Blaauw D, Austin T, Flautner K, Mudge T (2006) A self-tuning DVS processor using delay-error detection and correction. IEEE J Solid State Circuits 41(4):792–804CrossRef
22.
Zurück zum Zitat Datta R, Carpenter G, Nowka K, Abraham JA (2006) A scheme for on-chip timing characterization. In: VLSI test symposium, IEEE, pp 24–29 Datta R, Carpenter G, Nowka K, Abraham JA (2006) A scheme for on-chip timing characterization. In: VLSI test symposium, IEEE, pp 24–29
23.
Zurück zum Zitat Datta R, Gupta R, Sebastine A, Abraham JA, Dabreu M (2004) TriScan: a novel DFT technique for CMOS path delay fault testing. In: International test conference, IEEE, pp 1118–1127 Datta R, Gupta R, Sebastine A, Abraham JA, Dabreu M (2004) TriScan: a novel DFT technique for CMOS path delay fault testing. In: International test conference, IEEE, pp 1118–1127
24.
Zurück zum Zitat Datta R, Sebastine A, Abraham JA (2004) Delay fault testing and silicon debug using scan chains. In: European test symposium, IEEE, pp 46–51 Datta R, Sebastine A, Abraham JA (2004) Delay fault testing and silicon debug using scan chains. In: European test symposium, IEEE, pp 46–51
25.
Zurück zum Zitat Datta R, Sebastine A, Raghunathan A, Abraham JA (2004) On-chip delay measurement for silicon debug. In: Great lakes symposium on VLSI, ACM, pp 145–148 Datta R, Sebastine A, Raghunathan A, Abraham JA (2004) On-chip delay measurement for silicon debug. In: Great lakes symposium on VLSI, ACM, pp 145–148
26.
Zurück zum Zitat Dervisoglu B (1999) Design for testability: it is time to deliver it for time-to-market. In: International test conference, IEEE, pp 1102–1111 Dervisoglu B (1999) Design for testability: it is time to deliver it for time-to-market. In: International test conference, IEEE, pp 1102–1111
27.
Zurück zum Zitat Dudek P, Szczepanski S, Hatfield JV (2000) A high-resolution CMOS time-to-digital converter utilizing a vernier delay line. IEEE J Solid State Circuits 35(2):240–247CrossRef Dudek P, Szczepanski S, Hatfield JV (2000) A high-resolution CMOS time-to-digital converter utilizing a vernier delay line. IEEE J Solid State Circuits 35(2):240–247CrossRef
28.
Zurück zum Zitat Duvall SG (2000) Statistical circuit modeling and optmization. In: International workshop on statistical metrology, IEEE, pp 56–63 Duvall SG (2000) Statistical circuit modeling and optmization. In: International workshop on statistical metrology, IEEE, pp 56–63
29.
Zurück zum Zitat Favalli M, Olivo P, Damiani M, Ricco B (1990) Novel design for testability schemes for CMOS IC’s. IEEE J Solid State Circuits 25(5):1239–1246CrossRef Favalli M, Olivo P, Damiani M, Ricco B (1990) Novel design for testability schemes for CMOS IC’s. IEEE J Solid State Circuits 25(5):1239–1246CrossRef
30.
Zurück zum Zitat Franco P, McCluskey EJ (1991) Delay testing of digital circuits by output waveform analysis. In: International test conference, IEEE, pp 798–807 Franco P, McCluskey EJ (1991) Delay testing of digital circuits by output waveform analysis. In: International test conference, IEEE, pp 798–807
31.
Zurück zum Zitat Genat J-F (1992) High resolution time-to-digital converter. Nuclear Instruments and Methods in Physics Research, A315 Genat J-F (1992) High resolution time-to-digital converter. Nuclear Instruments and Methods in Physics Research, A315
32.
Zurück zum Zitat Genat J-F, Rossel F (1988) Ultra high-speed time-to-digital converter. United States Patent no. 4719608 Genat J-F, Rossel F (1988) Ultra high-speed time-to-digital converter. United States Patent no. 4719608
33.
Zurück zum Zitat Gorbics MS, Kelly J, Roberts KM, Sumner RL (1997) A high-resolution multihit time-to-digital converter integrated circuit. IEEE Trans Nucl Sci 44(3):379–384CrossRef Gorbics MS, Kelly J, Roberts KM, Sumner RL (1997) A high-resolution multihit time-to-digital converter integrated circuit. IEEE Trans Nucl Sci 44(3):379–384CrossRef
34.
Zurück zum Zitat Gray CT, Liu W, Noije WAV, Hughes TA, RKC III (1994) A sampling technique and its CMOS implementation with 1 Gb/s bandwidth and 25 ps resolution. IEEE J Solid State Circuits 29(3):340–349CrossRef Gray CT, Liu W, Noije WAV, Hughes TA, RKC III (1994) A sampling technique and its CMOS implementation with 1 Gb/s bandwidth and 25 ps resolution. IEEE J Solid State Circuits 29(3):340–349CrossRef
35.
Zurück zum Zitat Hawkins C, Keshavarzi A, Segura J (2003) A view from the bottom: nanometer technology AC parametric failures—why, where, and how to detect. In: International symposium on defect and fault tolerance, IEEE, pp 267–276 Hawkins C, Keshavarzi A, Segura J (2003) A view from the bottom: nanometer technology AC parametric failures—why, where, and how to detect. In: International symposium on defect and fault tolerance, IEEE, pp 267–276
36.
Zurück zum Zitat Hsiao M-J, Huang J-R, Yang S-S, Chang T-Y (2001) A built-in timing parametric measurement unit. In: International test conference, IEEE, pp 315–322 Hsiao M-J, Huang J-R, Yang S-S, Chang T-Y (2001) A built-in timing parametric measurement unit. In: International test conference, IEEE, pp 315–322
37.
Zurück zum Zitat Kinra A (1999) Towards reducing functional only fails for the UltraSPARC microprocessors. In: International test conference, IEEE, pp 147–154 Kinra A (1999) Towards reducing functional only fails for the UltraSPARC microprocessors. In: International test conference, IEEE, pp 147–154
38.
Zurück zum Zitat Ljuslin C, Christiansen J, Marchioro A, Klingsheim O (1994) An integrated 16-channel CMOS time to digital converter. IEEE Trans Nucl Sci 41(4):1104–1108CrossRef Ljuslin C, Christiansen J, Marchioro A, Klingsheim O (1994) An integrated 16-channel CMOS time to digital converter. IEEE Trans Nucl Sci 41(4):1104–1108CrossRef
39.
Zurück zum Zitat Maly W, Nigh P (1988) Built-in current testing - feasibility study. In: International conference on computer aided design, IEEE, pp 340–343 Maly W, Nigh P (1988) Built-in current testing - feasibility study. In: International conference on computer aided design, IEEE, pp 340–343
40.
Zurück zum Zitat Mao W, Ciletti MD (1990) A variable observation time method for testing delay faults. In: Design automation conference, ACM/IEEE, pp 728–731 Mao W, Ciletti MD (1990) A variable observation time method for testing delay faults. In: Design automation conference, ACM/IEEE, pp 728–731
41.
Zurück zum Zitat Maxwell P, Hartanto I, Bentz L (2000) Comparing functional and structural tests. In: International test conference, IEEE, pp 400–407 Maxwell P, Hartanto I, Bentz L (2000) Comparing functional and structural tests. In: International test conference, IEEE, pp 400–407
42.
Zurück zum Zitat Miyazaki M, Ono G, Ishibashi K (2002) A 1.2-GIPS/W microprocessor using speed-adaptive threshold-voltage CMOS with forward bias. IEEE J Solid State Circuits 37(2):210–217CrossRef Miyazaki M, Ono G, Ishibashi K (2002) A 1.2-GIPS/W microprocessor using speed-adaptive threshold-voltage CMOS with forward bias. IEEE J Solid State Circuits 37(2):210–217CrossRef
43.
Zurück zum Zitat Naffziger S, Stackhouse B, Grutkowski T (2005) The implementation of a 2-core multi-threaded itanium processor. In: International solid state circuits conference, IEEE, pp 182–184 Naffziger S, Stackhouse B, Grutkowski T (2005) The implementation of a 2-core multi-threaded itanium processor. In: International solid state circuits conference, IEEE, pp 182–184
44.
Zurück zum Zitat Nassif SR (2000) Modeling and forecasting of manufacturing variations. In: International workshop on statistical metrology, IEEE, pp 2–10 Nassif SR (2000) Modeling and forecasting of manufacturing variations. In: International workshop on statistical metrology, IEEE, pp 2–10
45.
Zurück zum Zitat Needham W, Gollakota N (1996) DFT strategy for intel microprocessors. In: International test conference, IEEE, pp 396–399 Needham W, Gollakota N (1996) DFT strategy for intel microprocessors. In: International test conference, IEEE, pp 396–399
46.
Zurück zum Zitat Needham et al (1998) High volume microprocessor test escapes, an analysis of defects our tests are missing. In: International test conference, IEEE, pp 25–34 Needham et al (1998) High volume microprocessor test escapes, an analysis of defects our tests are missing. In: International test conference, IEEE, pp 25–34
47.
Zurück zum Zitat Parvathala P, Maneparambil K, Lindsay W (2002) FRITS - a microprocessor functional BIST method. In: International test conference, IEEE, pp 590–598 Parvathala P, Maneparambil K, Lindsay W (2002) FRITS - a microprocessor functional BIST method. In: International test conference, IEEE, pp 590–598
48.
Zurück zum Zitat Pham D, Asano S, Bolliger M, Day M, Hofstee HP, Johns C, Kahle J, Kameyama A, Keaty J, Masubuchi Y, Riley M, Shippy D, Stasiak D, Suzuoki M, Wang M, Warnock J, Weitzel S, Wendel D, Yamazaki T, Yazawa K (2005) The design and implementation of a first generation CELL processor. In: International solid state circuits conference, IEEE, pp 184–186 Pham D, Asano S, Bolliger M, Day M, Hofstee HP, Johns C, Kahle J, Kameyama A, Keaty J, Masubuchi Y, Riley M, Shippy D, Stasiak D, Suzuoki M, Wang M, Warnock J, Weitzel S, Wendel D, Yamazaki T, Yazawa K (2005) The design and implementation of a first generation CELL processor. In: International solid state circuits conference, IEEE, pp 184–186
49.
Zurück zum Zitat Pramanick AK, Reddy SM (1989) On the computation of the ranges of detected delay fault sizes. In: International conference on computer aided design, IEEE, pp 126–129 Pramanick AK, Reddy SM (1989) On the computation of the ranges of detected delay fault sizes. In: International conference on computer aided design, IEEE, pp 126–129
50.
Zurück zum Zitat Raahemifar K, Ahmadi M (2000) Design for testability techniques for detecting delay faults in CMOS/BiCMOS logic families. IEEE Trans Circuits Syst II 47(11):1279–1290CrossRef Raahemifar K, Ahmadi M (2000) Design for testability techniques for detecting delay faults in CMOS/BiCMOS logic families. IEEE Trans Circuits Syst II 47(11):1279–1290CrossRef
51.
Zurück zum Zitat Rahkonen T, Kostamovaara J (1990) Pulsewidth measurement using an integrated pulse shrinking delay line. In: International symposium on circuits and systems, IEEE, pp 578–581 Rahkonen T, Kostamovaara J (1990) Pulsewidth measurement using an integrated pulse shrinking delay line. In: International symposium on circuits and systems, IEEE, pp 578–581
52.
Zurück zum Zitat Rahkonen T, Kostamovaara J, Saynajakangas S (1988) CMOS ASIC devices for the measurement of short time intervals. In: International symposium on circuits and systems, IEEE, pp 1593–1596 Rahkonen T, Kostamovaara J, Saynajakangas S (1988) CMOS ASIC devices for the measurement of short time intervals. In: International symposium on circuits and systems, IEEE, pp 1593–1596
53.
Zurück zum Zitat Rahkonen T, Kostamovaara JT (1993) The use of CMOS delay lines for digitization of short time intervals. IEEE J Solid State Circuits 28(8):887–894CrossRef Rahkonen T, Kostamovaara JT (1993) The use of CMOS delay lines for digitization of short time intervals. IEEE J Solid State Circuits 28(8):887–894CrossRef
54.
Zurück zum Zitat Rahkonen T, Malo E, Kostamovaara J (1996) A 3V fully integrated digital FM demodulator based on a CMOS pulse-shrinking delay line. In: International symposium on circuits and systems, IEEE, pp 572–575 Rahkonen T, Malo E, Kostamovaara J (1996) A 3V fully integrated digital FM demodulator based on a CMOS pulse-shrinking delay line. In: International symposium on circuits and systems, IEEE, pp 572–575
55.
Zurück zum Zitat Raisanen-Ruotsalainen E, Rahkonen T, Kostamovaara J (1995) A low-power CMOS time-to-digital converter. IEEE J Solid State Circuits 30(9):984–990CrossRef Raisanen-Ruotsalainen E, Rahkonen T, Kostamovaara J (1995) A low-power CMOS time-to-digital converter. IEEE J Solid State Circuits 30(9):984–990CrossRef
56.
Zurück zum Zitat Raychowdhury A, Ghosh S, Bhunia S, Ghosh D, Roy K (2005) A novel delay fault testing methodology using on-chip low overhead delay measurement hardware at strategic test points. In: European test symposium, IEEE, pp 108–113 Raychowdhury A, Ghosh S, Bhunia S, Ghosh D, Roy K (2005) A novel delay fault testing methodology using on-chip low overhead delay measurement hardware at strategic test points. In: European test symposium, IEEE, pp 108–113
57.
Zurück zum Zitat Sachdev M, Janssen P, Zieren V (1998) Defect detection with transient current testing and its potential for deep sub-micron CMOS ICs. In: International test conference, IEEE, pp 204–213 Sachdev M, Janssen P, Zieren V (1998) Defect detection with transient current testing and its potential for deep sub-micron CMOS ICs. In: International test conference, IEEE, pp 204–213
58.
Zurück zum Zitat Sasaki O, Taniguchi T, Ohska TK, Mori H, Nonaka T, Kaminishi K, Tsukuda A, Nishimura H, Takeda M, Kawakami Y (1989) 1.2ghz GaAs shift register IC for dead-time-less TDC application. IEEE Trans Nucl Sci 36(1):512–516CrossRef Sasaki O, Taniguchi T, Ohska TK, Mori H, Nonaka T, Kaminishi K, Tsukuda A, Nishimura H, Takeda M, Kawakami Y (1989) 1.2ghz GaAs shift register IC for dead-time-less TDC application. IEEE Trans Nucl Sci 36(1):512–516CrossRef
59.
Zurück zum Zitat Savir J (1992) Skewed load transition test: part I, calculus. In: International test conference, IEEE, pp 705–713 Savir J (1992) Skewed load transition test: part I, calculus. In: International test conference, IEEE, pp 705–713
60.
Zurück zum Zitat Savir J (1992) Skewed load transition test: part II, coverage. In: International test conference, IEEE, pp 714–722 Savir J (1992) Skewed load transition test: part II, coverage. In: International test conference, IEEE, pp 714–722
61.
Zurück zum Zitat Savir J (1994) On broad-side delay test. In: VLSI test symposium, IEEE, pp 284–290 Savir J (1994) On broad-side delay test. In: VLSI test symposium, IEEE, pp 284–290
62.
Zurück zum Zitat Segura J, Keshavarzi A, Soden J, Hawkins C (2002) Parametric failures in CMOS ICs - a defect-based analysis. In: International test conference, IEEE, pp 90–99 Segura J, Keshavarzi A, Soden J, Hawkins C (2002) Parametric failures in CMOS ICs - a defect-based analysis. In: International test conference, IEEE, pp 90–99
63.
Zurück zum Zitat Silicon Ensemble. Auto place and route tool Silicon Ensemble. Auto place and route tool
64.
Zurück zum Zitat Stevens A, Vanberg RP, Spiegel JVD, Williams HH (1989) A Time-to-voltage converter and analog memory for colliding beam detectors. IEEE J Solid State Circuits 24(6):1748–1752CrossRef Stevens A, Vanberg RP, Spiegel JVD, Williams HH (1989) A Time-to-voltage converter and analog memory for colliding beam detectors. IEEE J Solid State Circuits 24(6):1748–1752CrossRef
65.
Zurück zum Zitat Stojanovic V, Oklobdzija V (1999) Comparative analysis of master-slave latches and flip-flops for high-performance and low power systems. IEEE J Solid State Circuits 34(4):536–548CrossRef Stojanovic V, Oklobdzija V (1999) Comparative analysis of master-slave latches and flip-flops for high-performance and low power systems. IEEE J Solid State Circuits 34(4):536–548CrossRef
66.
Zurück zum Zitat Su C, Chen Y-T, Huang M-J, Chen G-N, Lee C-L (2000) All digital built-in delay and crosstalk measurement for on-chip buses. In: Design automation and test in Europe conference and exhibition, IEEE, pp 527–531 Su C, Chen Y-T, Huang M-J, Chen G-N, Lee C-L (2000) All digital built-in delay and crosstalk measurement for on-chip buses. In: Design automation and test in Europe conference and exhibition, IEEE, pp 527–531
67.
Zurück zum Zitat Synopsis Inc. Primetime Reference (2000) Version 2000.11 Synopsis Inc. Primetime Reference (2000) Version 2000.11
68.
Zurück zum Zitat Tam S, Limaye RD, Desai UN (2004) Clock generation and distribution for the 130-nm itanium 2 processor with 6-MB on-die L3 cache. IEEE J Solid State Circuits 39(4):636–642CrossRef Tam S, Limaye RD, Desai UN (2004) Clock generation and distribution for the 130-nm itanium 2 processor with 6-MB on-die L3 cache. IEEE J Solid State Circuits 39(4):636–642CrossRef
69.
Zurück zum Zitat Tisa S, Lotito A, Giudice A, Zappa F (2003) Monolithic time-to-digital converter with 20ps resolution. In: European solid state circuits conference, IEEE, pp 465–468 Tisa S, Lotito A, Giudice A, Zappa F (2003) Monolithic time-to-digital converter with 20ps resolution. In: European solid state circuits conference, IEEE, pp 465–468
71.
Zurück zum Zitat Vermeulen B, Goel SK (2002) Design for debug: catching design errors in digital chips. IEEE Des Test Comput 19(3):37–45CrossRef Vermeulen B, Goel SK (2002) Design for debug: catching design errors in digital chips. IEEE Des Test Comput 19(3):37–45CrossRef
72.
73.
Zurück zum Zitat Wood TJ (1999) The test and debug features of the AMD-K7 TM microprocessor. In: International test conference, IEEE, pp 130–136 Wood TJ (1999) The test and debug features of the AMD-K7 TM microprocessor. In: International test conference, IEEE, pp 130–136
74.
Zurück zum Zitat Wu WC, Lee CL, Wu MS, Chen JE, Abadir MS (2000) Oscillation ring delay test for high performance microprocessors. J Electron Test: Theory Appl 16(1–2):147–155CrossRef Wu WC, Lee CL, Wu MS, Chen JE, Abadir MS (2000) Oscillation ring delay test for high performance microprocessors. J Electron Test: Theory Appl 16(1–2):147–155CrossRef
Metadaten
Titel
On-Chip Delay Measurement Based Response Analysis for Timing Characterization
verfasst von
Ramyanshu Datta
Antony Sebastine
Ashwin Raghunathan
Gary Carpenter
Kevin Nowka
Jacob A. Abraham
Publikationsdatum
01.12.2010
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 6/2010
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-010-5188-1

Weitere Artikel der Ausgabe 6/2010

Journal of Electronic Testing 6/2010 Zur Ausgabe

EditorialNotes

Editorial

Neuer Inhalt