Skip to main content

2012 | OriginalPaper | Buchkapitel

2. Physical and Mathematical Fundamentals

verfasst von : Bernd Lemaitre, Christoph Sohrmann, Lutz Muche, Joachim Haase

Erschienen in: Process Variations and Probabilistic Integrated Circuit Design

Verlag: Springer New York

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

This chapter provides a short overview on the basics of CMOS transistor modeling with respect to deep submicron requirements and mathematical approaches to analyze variations in the design process. Technical terms are going to be defined and explained; physical processes and mathematical theories will be illustrated.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Fußnoten
1
Whether the symbol y refers to the value or to the function should be evident from the context.
 
2
\(\frac{1} {{\sigma }^{2}} \sim {\Sigma }^{-1}\) and erf\(\left ( \frac{c} {\sqrt{2}}\right ) = \mathcal{P}\left (\frac{1} {2}, \frac{{c}^{2}} {2} \right ).\)
 
3
PCA can be applied in the same manner.
 
Literatur
1.
Zurück zum Zitat Shichman, H., Hodges, D.A.: Modeling and simulation of insulated-gate field-effect transistor switching circuits. IEEE J. Solid-State Circuits 3(5), 285–289 (1968)CrossRef Shichman, H., Hodges, D.A.: Modeling and simulation of insulated-gate field-effect transistor switching circuits. IEEE J. Solid-State Circuits 3(5), 285–289 (1968)CrossRef
2.
Zurück zum Zitat Meyer, J.E.: MOS models and circuit simulation. RCA Review 32, 42–63 (1971) Meyer, J.E.: MOS models and circuit simulation. RCA Review 32, 42–63 (1971)
3.
Zurück zum Zitat Ward, D.E., Dutton, R.W.: A charge-oriented model for MOS transistor capacitances. IEEE J. Solid-State Circuits 13(5), 703–708 (1978)CrossRef Ward, D.E., Dutton, R.W.: A charge-oriented model for MOS transistor capacitances. IEEE J. Solid-State Circuits 13(5), 703–708 (1978)CrossRef
4.
Zurück zum Zitat Foty, D.P.: MOSFET Modeling with SPICE - Principles and Practice. Prentice Hall, Upper Saddle River, NJ (1997) Foty, D.P.: MOSFET Modeling with SPICE - Principles and Practice. Prentice Hall, Upper Saddle River, NJ (1997)
5.
Zurück zum Zitat Sheu, B.J., Scharfetter, D.L., Ko, P.K., Jen, M.C.: BSIM Berkeley short-channel IGFET model for MOS transistors. IEEE J. Solid-State Circuits 22(4), 558–566 (1987)CrossRef Sheu, B.J., Scharfetter, D.L., Ko, P.K., Jen, M.C.: BSIM Berkeley short-channel IGFET model for MOS transistors. IEEE J. Solid-State Circuits 22(4), 558–566 (1987)CrossRef
6.
Zurück zum Zitat Synopsys: HSPICE MOSFET Models Manual, version z-2006.03 edn. (2007). Chapter 6 Synopsys: HSPICE MOSFET Models Manual, version z-2006.03 edn. (2007). Chapter 6
7.
Zurück zum Zitat Liu, W.: MOSFET Models for SPICE Simulation, Including BSIM3v3 and BSIM4. John Wiley & Sons, New York (2001) Liu, W.: MOSFET Models for SPICE Simulation, Including BSIM3v3 and BSIM4. John Wiley & Sons, New York (2001)
8.
Zurück zum Zitat Enz, C.C., Krummenacher, F., Vittoz, E.A.: An analytical MOS transistor model valid in all regions of operation and dedicated to low-voltage and low current applications. J. Analog Integr. Circuits Signal Process 8, 83–114 (1995)CrossRef Enz, C.C., Krummenacher, F., Vittoz, E.A.: An analytical MOS transistor model valid in all regions of operation and dedicated to low-voltage and low current applications. J. Analog Integr. Circuits Signal Process 8, 83–114 (1995)CrossRef
11.
Zurück zum Zitat Miura-Mattausch, M., Feldmann, U., Rahm, A., Bollu, M., Savignac, D.: Unified complete MOSFET model for analysis of digital and analog circuits. IEEE Trans. CAD/ICAS 15(1), 1–7 (1996) Miura-Mattausch, M., Feldmann, U., Rahm, A., Bollu, M., Savignac, D.: Unified complete MOSFET model for analysis of digital and analog circuits. IEEE Trans. CAD/ICAS 15(1), 1–7 (1996)
12.
Zurück zum Zitat Gildenblat, G., Li, X., W.Wu, Wang, H., Jha, A., van Langevelde, R., Smit, G., Scholten, A., Klaassen, D.: PSP: An advanced surface-potential-based MOSFET model for circuit simulation. Electron Devices, IEEE Transactions on 53(9), 1979–1993 (2006) Gildenblat, G., Li, X., W.Wu, Wang, H., Jha, A., van Langevelde, R., Smit, G., Scholten, A., Klaassen, D.: PSP: An advanced surface-potential-based MOSFET model for circuit simulation. Electron Devices, IEEE Transactions on 53(9), 1979–1993 (2006)
15.
Zurück zum Zitat Tsividis, Y.: Operation and Modeling of the MOS Transistor, 2nd Edn. McGraw-Hill, New York (1999) Tsividis, Y.: Operation and Modeling of the MOS Transistor, 2nd Edn. McGraw-Hill, New York (1999)
16.
Zurück zum Zitat Taur, Y., Ning, T.: Fundamentals of modern VLSI devices. Cambridge University Press (1998) Taur, Y., Ning, T.: Fundamentals of modern VLSI devices. Cambridge University Press (1998)
17.
Zurück zum Zitat Wang, A., Calhoun, B.H., Chandrakasan, A.P.: Sub-threshold Design for Ultra Low-Power Systems. Springer (2006) Wang, A., Calhoun, B.H., Chandrakasan, A.P.: Sub-threshold Design for Ultra Low-Power Systems. Springer (2006)
18.
Zurück zum Zitat Moore, G.E.: Cramming more components onto integrated circuits. Electronics 38, 114 ff. (1965) Moore, G.E.: Cramming more components onto integrated circuits. Electronics 38, 114 ff. (1965)
19.
Zurück zum Zitat Dennard, R., Gaensslen, F., Rideout, V., Bassous, E., LeBlanc, A.: Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE Journal of Solid-State Circuits 9(5), 256–268 (1974)CrossRef Dennard, R., Gaensslen, F., Rideout, V., Bassous, E., LeBlanc, A.: Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE Journal of Solid-State Circuits 9(5), 256–268 (1974)CrossRef
20.
Zurück zum Zitat Rabaey, J.: Low Power Design Essentials. Springer, Boston, MA (2009). DOI 10. 1007/978-0-387-71713-5 Rabaey, J.: Low Power Design Essentials. Springer, Boston, MA (2009). DOI 10. 1007/978-0-387-71713-5
22.
Zurück zum Zitat Asenov, A.: Random dopant induced threshold voltage lowering and fluctuations in sub-0.1 um MOSFET’s: A 3-D “atomistic” simulation study. IEEE Transactions on Electron Devices 45(12), 2505–2513 (1998). DOI 10.1109/16.735728 Asenov, A.: Random dopant induced threshold voltage lowering and fluctuations in sub-0.1 um MOSFET’s: A 3-D “atomistic” simulation study. IEEE Transactions on Electron Devices 45(12), 2505–2513 (1998). DOI 10.1109/16.735728
23.
Zurück zum Zitat Diaz, C.H., Tao, H.J., Ku, Y.C., Yen, A., Young, K.: An experimentally validated analytical model for gate line-edge roughness (LER) effects on technology scaling. IEEE Electron Device Letters 22(6), 287–289 (2001). DOI 10.1109/55.924844CrossRef Diaz, C.H., Tao, H.J., Ku, Y.C., Yen, A., Young, K.: An experimentally validated analytical model for gate line-edge roughness (LER) effects on technology scaling. IEEE Electron Device Letters 22(6), 287–289 (2001). DOI 10.1109/55.924844CrossRef
24.
Zurück zum Zitat Asenov, A., Kaya, S., Davies, J.H.: Intrinsic threshold voltage fluctuations in decanano MOSFETs due to local oxide thickness variations. IEEE Transactions on Electron Devices 49(1), 112–119 (2002). DOI 10.1109/16.974757CrossRef Asenov, A., Kaya, S., Davies, J.H.: Intrinsic threshold voltage fluctuations in decanano MOSFETs due to local oxide thickness variations. IEEE Transactions on Electron Devices 49(1), 112–119 (2002). DOI 10.1109/16.974757CrossRef
25.
Zurück zum Zitat Kaushik, V.S., O’Sullivan, B.J., Pourtois, G., Van Hoornick, N., Delabie, A., Van Elshocht, S., Deweerd, W., Schram, T., Pantisano, L., Rohr, E., Ragnarsson, L.A., De Gendt, S., Heyns, M.: Estimation of fixed charge densities in hafnium-silicate gate dielectrics. IEEE Transactions on Electron Devices 53(10), 2627–2633 (2006). DOI 10.1109/TED.2006.882412CrossRef Kaushik, V.S., O’Sullivan, B.J., Pourtois, G., Van Hoornick, N., Delabie, A., Van Elshocht, S., Deweerd, W., Schram, T., Pantisano, L., Rohr, E., Ragnarsson, L.A., De Gendt, S., Heyns, M.: Estimation of fixed charge densities in hafnium-silicate gate dielectrics. IEEE Transactions on Electron Devices 53(10), 2627–2633 (2006). DOI 10.1109/TED.2006.882412CrossRef
26.
Zurück zum Zitat Lucovsky, G.: Intrinsic limitations on the performance and reliability of high-k gate dielectrics for advanced silicon devices. In: Proc. IEEE Int. Integrated Reliability Workshop Final Report (2005). DOI 10.1109/IRWS.2005.1609592 Lucovsky, G.: Intrinsic limitations on the performance and reliability of high-k gate dielectrics for advanced silicon devices. In: Proc. IEEE Int. Integrated Reliability Workshop Final Report (2005). DOI 10.1109/IRWS.2005.1609592
27.
Zurück zum Zitat Capodieci, L.: From optical proximity correction to lithography-driven physical design (1996-2006): 10 years of resolution enhancement technology and the roadmap enablers for the next decade. In: Proceedings of SPIE, vol. 6154 (3) (2006) Capodieci, L.: From optical proximity correction to lithography-driven physical design (1996-2006): 10 years of resolution enhancement technology and the roadmap enablers for the next decade. In: Proceedings of SPIE, vol. 6154 (3) (2006)
28.
Zurück zum Zitat Nag, S., Chatterjee, A., Taylor, K., Ali, I., O’Brien, S., Aur, S., Luttmer, J.D., Chen, I.C.: Comparative evaluation of gap-fill dielectrics in shallow trench isolation for sub-0.25 /spl mu/m technologies. In: Proc. Int. Electron Devices Meeting IEDM ’96, pp. 841–845 (1996). DOI 10.1109/IEDM.1996.554111 Nag, S., Chatterjee, A., Taylor, K., Ali, I., O’Brien, S., Aur, S., Luttmer, J.D., Chen, I.C.: Comparative evaluation of gap-fill dielectrics in shallow trench isolation for sub-0.25 /spl mu/m technologies. In: Proc. Int. Electron Devices Meeting IEDM ’96, pp. 841–845 (1996). DOI 10.1109/IEDM.1996.554111
29.
Zurück zum Zitat Tsang, Y.L., Chattopadhyay, S., Uppal, S., Escobedo-Cousin, E., Ramakrishnan, H.K., Olsen, S.H., O’Neill, A.G.: Modeling of the threshold voltage in strained si/si1-x gex/si1-ygey(x-y) cmos architectures. IEEE Transactions on Electron Devices 54(11), 3040–3048 (2007). DOI 10.1109/TED.2007.907190CrossRef Tsang, Y.L., Chattopadhyay, S., Uppal, S., Escobedo-Cousin, E., Ramakrishnan, H.K., Olsen, S.H., O’Neill, A.G.: Modeling of the threshold voltage in strained si/si1-x gex/si1-ygey(x-y) cmos architectures. IEEE Transactions on Electron Devices 54(11), 3040–3048 (2007). DOI 10.1109/TED.2007.907190CrossRef
30.
Zurück zum Zitat Al-Bayati, A., Graoui, H., Spear, J., Ito, H., Matsunaga, Y., Ohuchi, K., Adachi, K., Miyashita, K., Nakayama, T., Oowada, M., Toyoshima, Y.: Advanced CMOS device sensitivity to USJ processes and the required accuracy of doping and activation. In: Proc. 14th Int. Conf. Ion Implantation Technology 2002, pp. 185–188 (2002). DOI 10.1109/IIT.2002.1257969 Al-Bayati, A., Graoui, H., Spear, J., Ito, H., Matsunaga, Y., Ohuchi, K., Adachi, K., Miyashita, K., Nakayama, T., Oowada, M., Toyoshima, Y.: Advanced CMOS device sensitivity to USJ processes and the required accuracy of doping and activation. In: Proc. 14th Int. Conf. Ion Implantation Technology 2002, pp. 185–188 (2002). DOI 10.1109/IIT.2002.1257969
31.
Zurück zum Zitat Lorenz, J., Bär, E., Clees, T., Jancke, R., Salzig, C., S., S.: Hierarchical simulation of process variations and their impact on circuits and systems: Methodology. IEEE Trans. on Electron Devices, Special Issue Vol. 58(8) (2011), pp. 2218–2226 Lorenz, J., Bär, E., Clees, T., Jancke, R., Salzig, C., S., S.: Hierarchical simulation of process variations and their impact on circuits and systems: Methodology. IEEE Trans. on Electron Devices, Special Issue Vol. 58(8) (2011), pp. 2218–2226
32.
Zurück zum Zitat Lorenz, J., Bär, E., Clees, T., Jancke, R., Salzig, C., S., S.: Hierarchical simulation of process variations and their impact on circuits and systems: Results. IEEE Trans. on Electron Devices, Special Issue Vol. 58(8) (2011), pp. 2218–2226 Lorenz, J., Bär, E., Clees, T., Jancke, R., Salzig, C., S., S.: Hierarchical simulation of process variations and their impact on circuits and systems: Results. IEEE Trans. on Electron Devices, Special Issue Vol. 58(8) (2011), pp. 2218–2226
33.
Zurück zum Zitat Jancke, R., Kampen, C., Kilic, O., Lorenz, J.: Hierarchischer ansatz für die monte-carlo-simulation komplexer mixed-signal-schaltungen. In: 11. ITG/GMM-Fachtagung ANALOG. Erfurt (2010) Jancke, R., Kampen, C., Kilic, O., Lorenz, J.: Hierarchischer ansatz für die monte-carlo-simulation komplexer mixed-signal-schaltungen. In: 11. ITG/GMM-Fachtagung ANALOG. Erfurt (2010)
34.
Zurück zum Zitat Yamaoka, M., Onodera, H.: A detailed vth-variation analysis for sub-100-nm embedded SRAM design. In: Proc. IEEE Int. SOC Conf, pp. 315–318 (2006). DOI 10.1109/SOCC.2006.283905 Yamaoka, M., Onodera, H.: A detailed vth-variation analysis for sub-100-nm embedded SRAM design. In: Proc. IEEE Int. SOC Conf, pp. 315–318 (2006). DOI 10.1109/SOCC.2006.283905
35.
Zurück zum Zitat Pelgrom, M.J.M., Duinmaijer, A.C.J., Welbers, A.P.G.: Matching properties of mos transistors. IEEE Journal of Solid-State Circuits 24(5), 1433–1439 (1989). DOI10.1109/JSSC.1989.572629 Pelgrom, M.J.M., Duinmaijer, A.C.J., Welbers, A.P.G.: Matching properties of mos transistors. IEEE Journal of Solid-State Circuits 24(5), 1433–1439 (1989). DOI10.1109/JSSC.1989.572629
36.
Zurück zum Zitat Petzold, L., Li, S., Cao, Y., Serban, R.: Sensitivity analysis of differential-algebraic equations and partial differential equations. Computers & Chemical Engineering 30(10-12), 1553 – 1559 (2006). DOI 10.1016/j.compchemeng.2006.05.015CrossRef Petzold, L., Li, S., Cao, Y., Serban, R.: Sensitivity analysis of differential-algebraic equations and partial differential equations. Computers & Chemical Engineering 30(10-12), 1553 – 1559 (2006). DOI 10.1016/j.compchemeng.2006.05.015CrossRef
37.
Zurück zum Zitat Özyurt, D.B., Barton, P.I.: Cheap second order directional derivatives of stiff ODE embedded functionals. SIAM J. Sci. Comput. 26, 1725–1743 (2005). DOI 10.1137/030601582MathSciNetMATHCrossRef Özyurt, D.B., Barton, P.I.: Cheap second order directional derivatives of stiff ODE embedded functionals. SIAM J. Sci. Comput. 26, 1725–1743 (2005). DOI 10.1137/030601582MathSciNetMATHCrossRef
38.
Zurück zum Zitat Cao, Y., Li, S.T., Petzold, L., Serban, R.: Adjoint sensitivity analysis or differential-algebraic equations: The adjoint DAE system and its numerical solution. Siam Journal on Scientific Computing 24(1), 1076–1089 (2003). DOI 10.1137/ S1064827501380630MathSciNetMATHCrossRef Cao, Y., Li, S.T., Petzold, L., Serban, R.: Adjoint sensitivity analysis or differential-algebraic equations: The adjoint DAE system and its numerical solution. Siam Journal on Scientific Computing 24(1), 1076–1089 (2003). DOI 10.1137/ S1064827501380630MathSciNetMATHCrossRef
39.
Zurück zum Zitat Sakurai, T., Newton, A.R.: Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas. IEEE Journal of Solid-State Circuits SC 25(2), 584–594 (1990)CrossRef Sakurai, T., Newton, A.R.: Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas. IEEE Journal of Solid-State Circuits SC 25(2), 584–594 (1990)CrossRef
40.
Zurück zum Zitat Bowman, K.A., Austin, B.L., Eble, J.C., Tang, X., Meindl, J.D.: A physical alpha-power law mosfet model. IEEE Journal of Solid-State Circuits 34(10), 1410–1414 (1999). DOI 10.1109/4.792617CrossRef Bowman, K.A., Austin, B.L., Eble, J.C., Tang, X., Meindl, J.D.: A physical alpha-power law mosfet model. IEEE Journal of Solid-State Circuits 34(10), 1410–1414 (1999). DOI 10.1109/4.792617CrossRef
41.
Zurück zum Zitat Rabaey, J.M., Chandrakasan, A., Nikolic, B.: Digital Integrated Circuits: A Design Perspective. Prentice Hall (2003) Rabaey, J.M., Chandrakasan, A., Nikolic, B.: Digital Integrated Circuits: A Design Perspective. Prentice Hall (2003)
42.
Zurück zum Zitat Stolk, P.A., Widdershoven, F.P., Klaassen, D.B.M.: Modeling statistical dopant fluctuations in MOS transistors. IEEE Transactions on Electron Devices 45(9), 1960–1971 (1998). DOI 10.1109/16.711362CrossRef Stolk, P.A., Widdershoven, F.P., Klaassen, D.B.M.: Modeling statistical dopant fluctuations in MOS transistors. IEEE Transactions on Electron Devices 45(9), 1960–1971 (1998). DOI 10.1109/16.711362CrossRef
43.
Zurück zum Zitat Narendra, S.G.: Effect of MOSFET threshold voltage variation on high-performance circuits. Ph.D. thesis, Massachusetts Institute of Technology. Dept. of Electrical Engineering and Computer Science (2002) Narendra, S.G.: Effect of MOSFET threshold voltage variation on high-performance circuits. Ph.D. thesis, Massachusetts Institute of Technology. Dept. of Electrical Engineering and Computer Science (2002)
44.
Zurück zum Zitat Roy, K., Mukhopadhyay, S., Mahmoodi-Meimand, H.: Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits. In: Proceedings of the IEEE, pp. 305–327 (2003) Roy, K., Mukhopadhyay, S., Mahmoodi-Meimand, H.: Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits. In: Proceedings of the IEEE, pp. 305–327 (2003)
45.
Zurück zum Zitat Veendrick, J.M.H.: Nanometer CMOS ICs: From basics to ASICs, 1st Edn. Springer, Heidelberg (2008)CrossRef Veendrick, J.M.H.: Nanometer CMOS ICs: From basics to ASICs, 1st Edn. Springer, Heidelberg (2008)CrossRef
46.
Zurück zum Zitat Srivastava, A., Blaauw, D., Sylvester, D.: Statistical Analysis and Optimization for VLSI: Timing and Power. Springer Science+Business Media Inc, Boston, MA (2005). DOI 10.1007/b137645 Srivastava, A., Blaauw, D., Sylvester, D.: Statistical Analysis and Optimization for VLSI: Timing and Power. Springer Science+Business Media Inc, Boston, MA (2005). DOI 10.1007/b137645
47.
Zurück zum Zitat Chan, T.Y., Chen, J., Ko, P.K., Hu, C.: The impact of gate-induced drain leakage current on mosfet scaling. In: Proc. Int. Electron Devices Meeting, vol. 33, pp. 718–721 (1987). DOI 10.1109/IEDM.1987.191531 Chan, T.Y., Chen, J., Ko, P.K., Hu, C.: The impact of gate-induced drain leakage current on mosfet scaling. In: Proc. Int. Electron Devices Meeting, vol. 33, pp. 718–721 (1987). DOI 10.1109/IEDM.1987.191531
48.
Zurück zum Zitat Bouhdada, A., Bakkali, S., Touhami, A.: Modelling of gate-induced drain leakage in relation to technological parameters and temperature. Microelectronics and Reliability 37(4), 649–652 (1997). DOI 10.1016/S0026-2714(96)00062-5CrossRef Bouhdada, A., Bakkali, S., Touhami, A.: Modelling of gate-induced drain leakage in relation to technological parameters and temperature. Microelectronics and Reliability 37(4), 649–652 (1997). DOI 10.1016/S0026-2714(96)00062-5CrossRef
49.
Zurück zum Zitat Mulaik, S.A.: Foundations of factor analysis, 2nd Edn. Chapman & Hall/CRC statistics in the social and behavioral sciences series. CRC Press, Boca Raton, FL (2010)MATH Mulaik, S.A.: Foundations of factor analysis, 2nd Edn. Chapman & Hall/CRC statistics in the social and behavioral sciences series. CRC Press, Boca Raton, FL (2010)MATH
50.
Zurück zum Zitat Johnson, R.A., Wichern, D.W.: Applied multivariate statistical analysis, 6th Edn. Pearson Prentice Hall, Upper Saddle River N.J. (2007) Johnson, R.A., Wichern, D.W.: Applied multivariate statistical analysis, 6th Edn. Pearson Prentice Hall, Upper Saddle River N.J. (2007)
52.
Zurück zum Zitat Rencher, A.C.: Methods of multivariate analysis (2002). DOI 10.1002/0471271357 Rencher, A.C.: Methods of multivariate analysis (2002). DOI 10.1002/0471271357
53.
Zurück zum Zitat Johnson, N., Kotz, S.: Distribution in Statistics I. Continuous univarite distributions. Wiley (1970) Johnson, N., Kotz, S.: Distribution in Statistics I. Continuous univarite distributions. Wiley (1970)
54.
Zurück zum Zitat Karian, Z.A., Dudewicz, E.J.: Fitting statistical distributions: The Generalized Lambda Distribution and Generalized Bootstrap methods. CRC Press, Boca Raton (2000)MATHCrossRef Karian, Z.A., Dudewicz, E.J.: Fitting statistical distributions: The Generalized Lambda Distribution and Generalized Bootstrap methods. CRC Press, Boca Raton (2000)MATHCrossRef
55.
Zurück zum Zitat Shlens, J.: Tutorial on Principal Component Analysis. Tech. Rep. Version 2, Systems Neurobiology Laboratory, Salk Insitute for Biological Studies and Institute for Nonlinear Science, University of California, San Diego (2005). CiteSeerX 10.1.1.115.3503 Shlens, J.: Tutorial on Principal Component Analysis. Tech. Rep. Version 2, Systems Neurobiology Laboratory, Salk Insitute for Biological Studies and Institute for Nonlinear Science, University of California, San Diego (2005). CiteSeerX 10.1.1.115.3503
56.
Zurück zum Zitat Jolliffe, I.T.: Principal Component Analysis, 2nd Edn. Springer (2002) Jolliffe, I.T.: Principal Component Analysis, 2nd Edn. Springer (2002)
57.
Zurück zum Zitat Jackson, J.E.: A User’s Guide to Principal Components. Wiley Series in Probability and Statistics. Wiley (2003) Jackson, J.E.: A User’s Guide to Principal Components. Wiley Series in Probability and Statistics. Wiley (2003)
58.
Zurück zum Zitat Skillicorn, D.B.: Understanding complex datasets: Data mining with matrix decompositions. Chapman & Hall/CRC data mining and knowledge discovery series. Chapman & Hall/CRC Press, Boca Raton (2007)MATHCrossRef Skillicorn, D.B.: Understanding complex datasets: Data mining with matrix decompositions. Chapman & Hall/CRC data mining and knowledge discovery series. Chapman & Hall/CRC Press, Boca Raton (2007)MATHCrossRef
60.
Zurück zum Zitat Shamsi, D., Boufounos, P., Koushanfar, F.: Noninvasive leakage power tomography of integrated circuits by compressive sensing. In: ISLPED ’08: Proceedings of the 2003 international symposium on Low power electronics and design, pp. 341–346. ACM, NY, USA, Bangalore (2008) Shamsi, D., Boufounos, P., Koushanfar, F.: Noninvasive leakage power tomography of integrated circuits by compressive sensing. In: ISLPED ’08: Proceedings of the 2003 international symposium on Low power electronics and design, pp. 341–346. ACM, NY, USA, Bangalore (2008)
61.
Zurück zum Zitat Box, G.E.P., Draper, N.R.: Empirical model-building and response surfaces. Wiley series in probability and mathematical statistics. Wiley, New York (1987)MATH Box, G.E.P., Draper, N.R.: Empirical model-building and response surfaces. Wiley series in probability and mathematical statistics. Wiley, New York (1987)MATH
64.
Zurück zum Zitat Park, S., H.J., K., Cho, J.I.: Recent advances in linear models and related areas. In: Optimal Central Composite Designs for Fitting Second Order Response Surface Linear Regression Models, pp. 323–339. Physica-Verlag HD (2008). DOI 10.1007/978-3-7908-2064-5_17 Park, S., H.J., K., Cho, J.I.: Recent advances in linear models and related areas. In: Optimal Central Composite Designs for Fitting Second Order Response Surface Linear Regression Models, pp. 323–339. Physica-Verlag HD (2008). DOI 10.1007/978-3-7908-2064-5_17
65.
Zurück zum Zitat Cheng, L., Xiong, J., He, L.: Non-Gaussian statistical timing analysis using second-order polynomial fitting. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on 28(1), 130–140 (2009). DOI 10.1109/TCAD.2008.2009143CrossRef Cheng, L., Xiong, J., He, L.: Non-Gaussian statistical timing analysis using second-order polynomial fitting. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on 28(1), 130–140 (2009). DOI 10.1109/TCAD.2008.2009143CrossRef
66.
Zurück zum Zitat Sohrmann, C., Muche, L., Haase, J.: Accurate approximation to the probability of critical performance. In: 2. GMM/GI/ITG-Fachtagung Zuverlässigkeit und Entwurf, pp. 93–97 (2008) Sohrmann, C., Muche, L., Haase, J.: Accurate approximation to the probability of critical performance. In: 2. GMM/GI/ITG-Fachtagung Zuverlässigkeit und Entwurf, pp. 93–97 (2008)
67.
Zurück zum Zitat Zhang, M., Olbrich, M., Seider, D., Frerichs, M., Kinzelbach, H., Barke, E.: CMCal: An accurate analytical approach for the analysis of process variations with non-Gaussian parameters and nonlinear functions. In: Design, Automation & Test in Europe Conference & Exhibition, 2007. DATE ’07, pp. 1–6 (2007). DOI 10.1109/DATE.2007.364598 Zhang, M., Olbrich, M., Seider, D., Frerichs, M., Kinzelbach, H., Barke, E.: CMCal: An accurate analytical approach for the analysis of process variations with non-Gaussian parameters and nonlinear functions. In: Design, Automation & Test in Europe Conference & Exhibition, 2007. DATE ’07, pp. 1–6 (2007). DOI 10.1109/DATE.2007.364598
69.
Zurück zum Zitat Cheng, R.C.: Boostrap methods in computer simulation experiments. In: Proceedings of the 1995 Winter Simulation Conference, pp. 171–177 (1995) Cheng, R.C.: Boostrap methods in computer simulation experiments. In: Proceedings of the 1995 Winter Simulation Conference, pp. 171–177 (1995)
70.
Zurück zum Zitat Liu, J.S.: Monte Carlo Strategies in Scientific Computing. Springer Publishing Company, Incorporated (2008)MATH Liu, J.S.: Monte Carlo Strategies in Scientific Computing. Springer Publishing Company, Incorporated (2008)MATH
71.
Zurück zum Zitat Rao, R., Srivastava, A., Blaauw, D., Sylvester, D.: Statistical estimation of leakage current considering inter- and intra-die process variation. In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design ISLPED ’03, pp. 84–89 (2003), DOI 10.1109/LPE.2003.1231840 Rao, R., Srivastava, A., Blaauw, D., Sylvester, D.: Statistical estimation of leakage current considering inter- and intra-die process variation. In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design ISLPED ’03, pp. 84–89 (2003), DOI 10.1109/LPE.2003.1231840
72.
Zurück zum Zitat Denny, M.: Introduction to importance sampling in rare-event simulations. EUROPEAN JOURNAL OF PHYSICS 22(4), 403–411 (2001)CrossRef Denny, M.: Introduction to importance sampling in rare-event simulations. EUROPEAN JOURNAL OF PHYSICS 22(4), 403–411 (2001)CrossRef
73.
Zurück zum Zitat Robert, C.P., Casella, G.: Monte Carlo statistical methods, 2nd Edn. Springer texts in statistics. Springer, New York, NY (2004). ISBN 978-0-387-21239-5MATH Robert, C.P., Casella, G.: Monte Carlo statistical methods, 2nd Edn. Springer texts in statistics. Springer, New York, NY (2004). ISBN 978-0-387-21239-5MATH
74.
Zurück zum Zitat Hesterberg, T.: Advances in importance sampling. Statistics Department, Stanford University (1998) Hesterberg, T.: Advances in importance sampling. Statistics Department, Stanford University (1998)
75.
Zurück zum Zitat Hein, A.: Parameter- und Quantilschätzung in der Extremwerttheorie. Uni Kaiserslautern (2001) Hein, A.: Parameter- und Quantilschätzung in der Extremwerttheorie. Uni Kaiserslautern (2001)
76.
Zurück zum Zitat Reiss, R., M., T.: Statistical Analysis of Extreme Values. Birkhäuser (2007) Reiss, R., M., T.: Statistical Analysis of Extreme Values. Birkhäuser (2007)
77.
Zurück zum Zitat de Haan, L., Ferreira, A.: Extreme value theory. An Introduction. Springer series in operations research and financial engineering. Springer (2000) de Haan, L., Ferreira, A.: Extreme value theory. An Introduction. Springer series in operations research and financial engineering. Springer (2000)
78.
Zurück zum Zitat Li, X., Le, J., Pileggi, L.T.: Projection-based statistical analysis of full-chip leakage power with non-log-normal distributions. In: Proc. DAC 2006, pp. 103–108 (2006) Li, X., Le, J., Pileggi, L.T.: Projection-based statistical analysis of full-chip leakage power with non-log-normal distributions. In: Proc. DAC 2006, pp. 103–108 (2006)
79.
Zurück zum Zitat GSA & IET International Semiconductor Forum, London UK, 18-19 May 2010 “Better Analog Modeling and Integration with iPDKs” GSA & IET International Semiconductor Forum, London UK, 18-19 May 2010 “Better Analog Modeling and Integration with iPDKs”
80.
Zurück zum Zitat Hu, C.: Future CMOS scaling and reliability. Proceedings of the IEEE, 81(5) (1993) Hu, C.: Future CMOS scaling and reliability. Proceedings of the IEEE, 81(5) (1993)
81.
Zurück zum Zitat Wong, B.P., Mittal, A., Cao, Y., Starr, G.: NANO-CMOS Circuit and physical design, John Wiley & Sons, New York (2005) Wong, B.P., Mittal, A., Cao, Y., Starr, G.: NANO-CMOS Circuit and physical design, John Wiley & Sons, New York (2005)
82.
Zurück zum Zitat Robertson, J.: High dielectric constant gate oxides for metal oxide Si transistors. Rep. Prog. Phys. 69, 327–396 (2006) Institute physics publishing Robertson, J.: High dielectric constant gate oxides for metal oxide Si transistors. Rep. Prog. Phys. 69, 327–396 (2006) Institute physics publishing
83.
Zurück zum Zitat Ge precursors for strained Si and compound semiconductors, semiconductor international, (2006) Ge precursors for strained Si and compound semiconductors, semiconductor international, (2006)
84.
Zurück zum Zitat Risch, L.: Pushing CMOS beyond the roadmap, Proceedings of ESSCIRC, p. 63 (2005) Risch, L.: Pushing CMOS beyond the roadmap, Proceedings of ESSCIRC, p. 63 (2005)
85.
Zurück zum Zitat Subramanian, V.: Multiple gate field-effect transistors for future CMOS technologies. IETE Technical review 27, 446–454 (2010)CrossRef Subramanian, V.: Multiple gate field-effect transistors for future CMOS technologies. IETE Technical review 27, 446–454 (2010)CrossRef
Metadaten
Titel
Physical and Mathematical Fundamentals
verfasst von
Bernd Lemaitre
Christoph Sohrmann
Lutz Muche
Joachim Haase
Copyright-Jahr
2012
Verlag
Springer New York
DOI
https://doi.org/10.1007/978-1-4419-6621-6_2

Neuer Inhalt