Skip to main content

2023 | OriginalPaper | Buchkapitel

Synthesis and Technology Mapping for In-Memory Computing

verfasst von : Debjyoti Bhattacharjee, Anupam Chattopadhyay

Erschienen in: Emerging Computing: From Devices to Systems

Verlag: Springer Nature Singapore

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

In this chapter, we introduce the preliminaries of in-memory computing processing-in-memory platforms, such as memristive Memory Processing Units (mMPU), which allow leveraging data locality and performing stateful logic operations. To allow computing of arbitrary Boolean functions using such novel computing platforms, development of design automation flows (EDA) are of critical importance. Typically, EDA flows consist of multiple phases. Technology-independent logic synthesis is the first step, where the input Boolean function is restructured without any specific technology constraints, which is generally followed by a technology-dependent optimization phase, where technology specific hints are used for optimization of the data structure obtained from the first step. The final step is technology mapping, which takes the optimized function representation to implement it using technology-specific constraints. In this chapter, we present an end-to-end mapping framework for mMPU with various mapping objectives. We begin the chapter by presenting an optimal technology mapping method with the goal of mapping a Boolean function on a single row of mMPU. Thereafter, we propose a Look-Up Table (LUT) based mapping that attempts at minimizing delay of mapping, without any area constraints. We extend this method to work with area-constraints. The proposed framework is modular and can be improved with more efficient heuristics as well as technology-specific optimizations. We present benchmarking results with other approaches throughout this chapter.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
Zurück zum Zitat S. Aga, S. Jeloka, A. Subramaniyan, S. Narayanasamy, D. Blaauw, R. Das, Compute caches, in 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA) (IEEE, 2017), pp. 481–492 S. Aga, S. Jeloka, A. Subramaniyan, S. Narayanasamy, D. Blaauw, R. Das, Compute caches, in 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA) (IEEE, 2017), pp. 481–492
Zurück zum Zitat A. Agrawal, A. Jaiswal, C. Lee, K. Roy, X-SRAM: enabling in-memory Boolean computations in CMOS static random access memories. IEEE Trans. Circuits Syst. I Regul. Pap. 65(12), 4219–4232 (2018)CrossRef A. Agrawal, A. Jaiswal, C. Lee, K. Roy, X-SRAM: enabling in-memory Boolean computations in CMOS static random access memories. IEEE Trans. Circuits Syst. I Regul. Pap. 65(12), 4219–4232 (2018)CrossRef
Zurück zum Zitat L. Amarú, P.-E. Gaillardon, G. De Micheli, BDS-MAJ: a BDD-based logic synthesis tool exploiting majority logic decomposition, in Proceedings of the 50th Annual Design Automation Conference (ACM, 2013), p. 47 L. Amarú, P.-E. Gaillardon, G. De Micheli, BDS-MAJ: a BDD-based logic synthesis tool exploiting majority logic decomposition, in Proceedings of the 50th Annual Design Automation Conference (ACM, 2013), p. 47
Zurück zum Zitat L. Amaru, P.-E. Gaillardon, G. De Micheli, Majority-inverter graph: a new paradigm for logic optimization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(5), 806–819 (2016)CrossRef L. Amaru, P.-E. Gaillardon, G. De Micheli, Majority-inverter graph: a new paradigm for logic optimization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(5), 806–819 (2016)CrossRef
Zurück zum Zitat D. Bhattacharjee, R. Devadoss, A. Chattopadhyay, ReVAMP: ReRAM based VLIW architecture for in-memory computing, in 2017 Design, Automation & Test in Europe Conference & Exhibition (DATE) (IEEE, 2017), pp. 782–787 D. Bhattacharjee, R. Devadoss, A. Chattopadhyay, ReVAMP: ReRAM based VLIW architecture for in-memory computing, in 2017 Design, Automation & Test in Europe Conference & Exhibition (DATE) (IEEE, 2017), pp. 782–787
Zurück zum Zitat P.E. Gaillardon, L. Amarú, A. Siemon, E. Linn, R. Waser, A. Chattopadhyay, G.D. Micheli, The programmable logic-in-memory (PLIM) computer, in DATE (2016), pp. 427–432 P.E. Gaillardon, L. Amarú, A. Siemon, E. Linn, R. Waser, A. Chattopadhyay, G.D. Micheli, The programmable logic-in-memory (PLIM) computer, in DATE (2016), pp. 427–432
Zurück zum Zitat R. Gharpinde, P.L. Thangkhiew, K. Datta, I. Sengupta, A scalable in-memory logic synthesis approach using memristor crossbar. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 26(2), 355–366 (2017) R. Gharpinde, P.L. Thangkhiew, K. Datta, I. Sengupta, A scalable in-memory logic synthesis approach using memristor crossbar. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 26(2), 355–366 (2017)
Zurück zum Zitat R. Gharpinde, P.L. Thangkhiew, K. Datta, I. Sengupta, A scalable in-memory logic synthesis approach using memristor crossbar. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 26(2), 355–366 (2018) R. Gharpinde, P.L. Thangkhiew, K. Datta, I. Sengupta, A scalable in-memory logic synthesis approach using memristor crossbar. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 26(2), 355–366 (2018)
Zurück zum Zitat A. Haj-Ali, R. Ben-Hur, N. Wald, R. Ronen, S. Kvatinsky, Not in name alone: a memristive memory processing unit for real in-memory processing. IEEE Micro 38(5), 13–21 (2018)CrossRef A. Haj-Ali, R. Ben-Hur, N. Wald, R. Ronen, S. Kvatinsky, Not in name alone: a memristive memory processing unit for real in-memory processing. IEEE Micro 38(5), 13–21 (2018)CrossRef
Zurück zum Zitat S. Hamdioui, L. Xie, H.A.D. Nguyen, M. Taouil, K. Bertels, H. Corporaal, H. Jiao, F. Catthoor, D. Wouters, L. Eike et al., Memristor based computation-in-memory architecture for data-intensive applications, in DATE (EDA Consortium, 2015), pp. 1718–1725 S. Hamdioui, L. Xie, H.A.D. Nguyen, M. Taouil, K. Bertels, H. Corporaal, H. Jiao, F. Catthoor, D. Wouters, L. Eike et al., Memristor based computation-in-memory architecture for data-intensive applications, in DATE (EDA Consortium, 2015), pp. 1718–1725
Zurück zum Zitat M.C. Hansen, H. Yalcin, J.P. Hayes, Unveiling the ISCAS-85 benchmarks: a case study in reverse engineering. IEEE Des. Test Comput. 16(3), 72–80 (1999)CrossRef M.C. Hansen, H. Yalcin, J.P. Hayes, Unveiling the ISCAS-85 benchmarks: a case study in reverse engineering. IEEE Des. Test Comput. 16(3), 72–80 (1999)CrossRef
Zurück zum Zitat R.B. Hur, N. Wald, N. Talati, S. Kvatinsky, Simple magic: synthesis and in-memory mapping of logic execution for memristor-aided logic, in Proceedings of the 36th International Conference on Computer-Aided Design (IEEE Press, 2017), pp. 225–232 R.B. Hur, N. Wald, N. Talati, S. Kvatinsky, Simple magic: synthesis and in-memory mapping of logic execution for memristor-aided logic, in Proceedings of the 36th International Conference on Computer-Aided Design (IEEE Press, 2017), pp. 225–232
Zurück zum Zitat S.K. Kingra, V. Parmar, C.-C. Chang, B. Hudec, T.-H. Hou, M. Suri, SLIM: simultaneous logic-in-memory computing exploiting bilayer analog OxRAM devices. Sci. Rep. 10(1), 1–14 (2020)CrossRef S.K. Kingra, V. Parmar, C.-C. Chang, B. Hudec, T.-H. Hou, M. Suri, SLIM: simultaneous logic-in-memory computing exploiting bilayer analog OxRAM devices. Sci. Rep. 10(1), 1–14 (2020)CrossRef
Zurück zum Zitat S. Kvatinsky, D. Belousov, S. Liman, G. Satat, N. Wald, E.G. Friedman, A. Kolodny, U.C. Weiser, Magic-memristor-aided logic. IEEE Trans. Circuits Syst. II Express Briefs 61(11), 895–899 (2014)CrossRef S. Kvatinsky, D. Belousov, S. Liman, G. Satat, N. Wald, E.G. Friedman, A. Kolodny, U.C. Weiser, Magic-memristor-aided logic. IEEE Trans. Circuits Syst. II Express Briefs 61(11), 895–899 (2014)CrossRef
Zurück zum Zitat E. Lehtonen, M. Laiho, Stateful implication logic with memristors, in NanoArch (IEEE Computer Society, 2009), pp. 33–36 E. Lehtonen, M. Laiho, Stateful implication logic with memristors, in NanoArch (IEEE Computer Society, 2009), pp. 33–36
Zurück zum Zitat E. Linn, R. Rosezin, S. Tappertzhofen, U. Böttger, R. Waser, Beyond von Neumann-logic operations in passive crossbar arrays alongside memory operations. Nanotechnology 23(30) (2012) E. Linn, R. Rosezin, S. Tappertzhofen, U. Böttger, R. Waser, Beyond von Neumann-logic operations in passive crossbar arrays alongside memory operations. Nanotechnology 23(30) (2012)
Zurück zum Zitat P. McGeer, J. Sanghavi, R. Brayton, A.S. Vincentelli, Espresso-signature: a new exact minimizer for logic functions, in Proceedings of the 30th International Design Automation Conference (ACM, 1993), pp. 618–624 P. McGeer, J. Sanghavi, R. Brayton, A.S. Vincentelli, Espresso-signature: a new exact minimizer for logic functions, in Proceedings of the 30th International Design Automation Conference (ACM, 1993), pp. 618–624
Zurück zum Zitat G.D. Micheli, Synthesis and Optimization of Digital Circuits (McGraw-Hill Higher Education, 1994) G.D. Micheli, Synthesis and Optimization of Digital Circuits (McGraw-Hill Higher Education, 1994)
Zurück zum Zitat A. Pedram, S. Richardson, M. Horowitz, S. Galal, S. Kvatinsky, Dark memory and accelerator-rich system optimization in the dark silicon era. IEEE Design Test 34(2), 39–50 (2016)CrossRef A. Pedram, S. Richardson, M. Horowitz, S. Galal, S. Kvatinsky, Dark memory and accelerator-rich system optimization in the dark silicon era. IEEE Design Test 34(2), 39–50 (2016)CrossRef
Zurück zum Zitat J. Reuben, R. Ben-Hur, N. Wald, N. Talati, A.H. Ali, P.-E. Gaillardon, S. Kvatinsky, Memristive logic: a framework for evaluation and comparison, in 2017 27th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS) (IEEE, 2017), pp. 1–8 J. Reuben, R. Ben-Hur, N. Wald, N. Talati, A.H. Ali, P.-E. Gaillardon, S. Kvatinsky, Memristive logic: a framework for evaluation and comparison, in 2017 27th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS) (IEEE, 2017), pp. 1–8
Zurück zum Zitat E.M. Sentovich, K.J. Singh, L. Lavagno, C. Moon, R. Murgai, A. Saldanha, H. Savoj, P.R. Stephan, R.K. Brayton, A. Sangiovanni-Vincentelli, SIS: a system for sequential circuit synthesis (1992) E.M. Sentovich, K.J. Singh, L. Lavagno, C. Moon, R. Murgai, A. Saldanha, H. Savoj, P.R. Stephan, R.K. Brayton, A. Sangiovanni-Vincentelli, SIS: a system for sequential circuit synthesis (1992)
Zurück zum Zitat V. Seshadri, D. Lee, T. Mullins, H. Hassan, A. Boroumand, J. Kim, M.A. Kozuch, O. Mutlu, P.B. Gibbons, T.C. Mowry, Ambit: in-memory accelerator for bulk bitwise operations using commodity dram technology, in Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture (2017), pp. 273–287 V. Seshadri, D. Lee, T. Mullins, H. Hassan, A. Boroumand, J. Kim, M.A. Kozuch, O. Mutlu, P.B. Gibbons, T.C. Mowry, Ambit: in-memory accelerator for bulk bitwise operations using commodity dram technology, in Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture (2017), pp. 273–287
Zurück zum Zitat M. Soeken, A. Chattopadhyay, Unlocking efficiency and scalability of reversible logic synthesis using conventional logic synthesis, in 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC) (IEEE, 2016), pp. 1–6 M. Soeken, A. Chattopadhyay, Unlocking efficiency and scalability of reversible logic synthesis using conventional logic synthesis, in 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC) (IEEE, 2016), pp. 1–6
Zurück zum Zitat N. Talati, S. Gupta, P. Mane, S. Kvatinsky, Logic design within memristive memories using memristor-aided logic (magic). IEEE Trans. Nanotechnol. 15(4), 635–650 (2016)CrossRef N. Talati, S. Gupta, P. Mane, S. Kvatinsky, Logic design within memristive memories using memristor-aided logic (magic). IEEE Trans. Nanotechnol. 15(4), 635–650 (2016)CrossRef
Zurück zum Zitat P.L. Thangkhiew, K. Datta, Scalable in-memory mapping of Boolean functions in memristive crossbar array using simulated annealing. J. Syst. Architect. 89, 49–59 (2018)CrossRef P.L. Thangkhiew, K. Datta, Scalable in-memory mapping of Boolean functions in memristive crossbar array using simulated annealing. J. Syst. Architect. 89, 49–59 (2018)CrossRef
Zurück zum Zitat D.N. Yadav, P.L. Thangkhiew, K. Datta, Look-ahead mapping of Boolean functions in memristive crossbar array. Integration 64, 152–162 (2019)CrossRef D.N. Yadav, P.L. Thangkhiew, K. Datta, Look-ahead mapping of Boolean functions in memristive crossbar array. Integration 64, 152–162 (2019)CrossRef
Zurück zum Zitat A. Zulehner, K. Datta, I. Sengupta, R. Wille, A staircase structure for scalable and efficient synthesis of memristor-aided logic, in Proceedings of the 24th Asia and South Pacific Design Automation Conference (ACM, 2019), pp. 237–242 A. Zulehner, K. Datta, I. Sengupta, R. Wille, A staircase structure for scalable and efficient synthesis of memristor-aided logic, in Proceedings of the 24th Asia and South Pacific Design Automation Conference (ACM, 2019), pp. 237–242
Metadaten
Titel
Synthesis and Technology Mapping for In-Memory Computing
verfasst von
Debjyoti Bhattacharjee
Anupam Chattopadhyay
Copyright-Jahr
2023
Verlag
Springer Nature Singapore
DOI
https://doi.org/10.1007/978-981-16-7487-7_10