Skip to main content
Erschienen in: The Journal of Supercomputing 10/2019

08.06.2019

The survey on ARM processors for HPC

verfasst von: Daniel Yokoyama, Bruno Schulze, Fábio Borges, Giacomo Mc Evoy

Erschienen in: The Journal of Supercomputing | Ausgabe 10/2019

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The ongoing effort to reach the exascale computing barrier has led to a myriad of research and publications in the topic of alternative energy-efficient architectures, such as ARM, for HPC systems. The staggering pace at which ARM architectures have evolved has increased the volume of publications on this topic even more. A complex subject as the race to exascale touches on several aspects such as floating-point performance, scalability issues in coupled workloads, net energy consumption and ratio of energy to performance. In this context, we see the opportunity to contribute to this subject by: (1) analyzing the state of the art to identify essential papers; (2) highlighting important developments of ARM architecture in support to HPC; (3) discussing both positive and negative trends observed regarding the use of ARM for HPC; and (4) listing key topics concerning the use of ARM for exascale computing, along with distinguished references for each one.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literatur
6.
Zurück zum Zitat Abdurachmanov D, Bockelman B, Elmer P, Eulisse G, Knight R, Muzaffar S (2015) Heterogeneous high throughput scientific computing with apm x-gene and intel xeon phi. J Phys Conf Ser 608(1):012033CrossRef Abdurachmanov D, Bockelman B, Elmer P, Eulisse G, Knight R, Muzaffar S (2015) Heterogeneous high throughput scientific computing with apm x-gene and intel xeon phi. J Phys Conf Ser 608(1):012033CrossRef
7.
Zurück zum Zitat Abdurachmanov D, Elmer P, Eulisse G, Knight R, Niemi T, Nurminen JK, Nyback F, Pestana G, Ou Z, Khan K (2015) Techniques and tools for measuring energy efficiency of scientific software applications. J Phys Conf Ser 608:012032CrossRef Abdurachmanov D, Elmer P, Eulisse G, Knight R, Niemi T, Nurminen JK, Nyback F, Pestana G, Ou Z, Khan K (2015) Techniques and tools for measuring energy efficiency of scientific software applications. J Phys Conf Ser 608:012032CrossRef
8.
Zurück zum Zitat Abdurachmanov D, Elmer P, Eulisse G, Muzaffar S (2014) Initial explorations of arm processors for scientific computing. J Phys Conf Ser 523(1):012009CrossRef Abdurachmanov D, Elmer P, Eulisse G, Muzaffar S (2014) Initial explorations of arm processors for scientific computing. J Phys Conf Ser 523(1):012009CrossRef
9.
Zurück zum Zitat Addiego N (2017) Evaluation of the efficiency of an ARM-based beowulf cluster versus traditional desktop computing for high performance computing. Master’s thesis, University of San Diego Addiego N (2017) Evaluation of the efficiency of an ARM-based beowulf cluster versus traditional desktop computing for high performance computing. Master’s thesis, University of San Diego
10.
Zurück zum Zitat Adhianto L, Banerjee S, Fagan M, Krentel M, Marin G, Mellor-Crummey J, Tallent NR (2010) Hpctoolkit: tools for performance analysis of optimized parallel programs. Concurr Comput Pract Exp 22(6):685–701 Adhianto L, Banerjee S, Fagan M, Krentel M, Marin G, Mellor-Crummey J, Tallent NR (2010) Hpctoolkit: tools for performance analysis of optimized parallel programs. Concurr Comput Pract Exp 22(6):685–701
11.
Zurück zum Zitat Allalen M, Brayford D, Tafani D, Weinberg V, Mohr B, Brömmel D, Halver R, Meinke J, Mohanty S (2015) The mont-blanc project: First phase successfully finished. arXiv preprint arXiv:1508.05075 Allalen M, Brayford D, Tafani D, Weinberg V, Mohr B, Brömmel D, Halver R, Meinke J, Mohanty S (2015) The mont-blanc project: First phase successfully finished. arXiv preprint arXiv:​1508.​05075
14.
Zurück zum Zitat Azimi R, Fox T, Gonzalez W, Reda S (2018) Scale-out vs scale-up: a study of arm-based socs on server-class workloads. ACM Trans Model Perform Eval Comput Syst (TOMPECS) 3(4):18 Azimi R, Fox T, Gonzalez W, Reda S (2018) Scale-out vs scale-up: a study of arm-based socs on server-class workloads. ACM Trans Model Perform Eval Comput Syst (TOMPECS) 3(4):18
15.
Zurück zum Zitat Azimi R, Zhan X, Reda S (2015) How good are low-power 64-bit socs for server-class workloads? In: 2015 IEEE International Symposium on Workload Characterization (IISWC), IEEE, pp 116–117 Azimi R, Zhan X, Reda S (2015) How good are low-power 64-bit socs for server-class workloads? In: 2015 IEEE International Symposium on Workload Characterization (IISWC), IEEE, pp 116–117
19.
Zurück zum Zitat Beserra D, Pinheiro MK, Souveyet C, Steffenel LA, Moreno ED (2017) Performance evaluation of os-level virtualization solutions for hpc purposes on soc-based systems. In: 2017 IEEE 31st International Conference on Advanced Information Networking and Applications (AINA), IEEE, pp 363–370 Beserra D, Pinheiro MK, Souveyet C, Steffenel LA, Moreno ED (2017) Performance evaluation of os-level virtualization solutions for hpc purposes on soc-based systems. In: 2017 IEEE 31st International Conference on Advanced Information Networking and Applications (AINA), IEEE, pp 363–370
20.
Zurück zum Zitat Bez JL, Bernart EE, Santos FF, Schnorr LM, Navaux POA (2016) Performance and energy efficiency analysis of HPC physics simulation applications in a cluster of arm processors. Pract Exp Concurr Comput 29:e4014CrossRef Bez JL, Bernart EE, Santos FF, Schnorr LM, Navaux POA (2016) Performance and energy efficiency analysis of HPC physics simulation applications in a cluster of arm processors. Pract Exp Concurr Comput 29:e4014CrossRef
21.
Zurück zum Zitat Blem E, Menon J, Sankaralingam K (2013) Power struggles: revisiting the risc vs. cisc debate on contemporary arm and x86 architectures. In: 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA2013), IEEE, pp 1–12 Blem E, Menon J, Sankaralingam K (2013) Power struggles: revisiting the risc vs. cisc debate on contemporary arm and x86 architectures. In: 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA2013), IEEE, pp 1–12
22.
Zurück zum Zitat Boggs D, Brown G, Tuck N, Venkatraman K (2015) Denver: Nvidia’s first 64-bit arm processor. IEEE Micro 35(2):46–55CrossRef Boggs D, Brown G, Tuck N, Venkatraman K (2015) Denver: Nvidia’s first 64-bit arm processor. IEEE Micro 35(2):46–55CrossRef
23.
Zurück zum Zitat Brash D (2010) Extensions to the armv7-a architecture. In: 2010 IEEE Hot Chips 22 Symposium (HCS), IEEE, pp 1–21 Brash D (2010) Extensions to the armv7-a architecture. In: 2010 IEEE Hot Chips 22 Symposium (HCS), IEEE, pp 1–21
25.
Zurück zum Zitat Bull D, Das S, Shivashankar K, Dasika GS, Flautner K, Blaauw D (2011) A power-efficient 32 bit arm processor using timing-error detection and correction for transient-error tolerance and adaptation to pvt variation. IEEE J Solid-State Circ 46(1):18–31CrossRef Bull D, Das S, Shivashankar K, Dasika GS, Flautner K, Blaauw D (2011) A power-efficient 32 bit arm processor using timing-error detection and correction for transient-error tolerance and adaptation to pvt variation. IEEE J Solid-State Circ 46(1):18–31CrossRef
26.
Zurück zum Zitat Calore E, Mantovani F, Ruiz D (2018) Advanced performance analysis of hpc workloads on cavium thunderx. In: 2018 International Conference on High Performance Computing & Simulation (HPCS), IEEE, pp 375–382 Calore E, Mantovani F, Ruiz D (2018) Advanced performance analysis of hpc workloads on cavium thunderx. In: 2018 International Conference on High Performance Computing & Simulation (HPCS), IEEE, pp 375–382
27.
Zurück zum Zitat Canuto M, Bosch R, Macias M, Guitart J (2016) A methodology for full-system power modeling in heterogeneous data centers. In: Proceedings of the 9th International Conference on Utility and Cloud Computing, ACM, pp 20–29 Canuto M, Bosch R, Macias M, Guitart J (2016) A methodology for full-system power modeling in heterogeneous data centers. In: Proceedings of the 9th International Conference on Utility and Cloud Computing, ACM, pp 20–29
28.
Zurück zum Zitat Castelló A, Duato J, Mayo R, Peña AJ, Quintana-Ortí ES, Roca V, Silla F (2014) On the use of remote gpus and low-power processors for the acceleration of scientific applications. In: The Fourth International Conference on Smart Grids, Green Communications and IT Energy-aware Technologies (ENERGY), pp 57–62 Castelló A, Duato J, Mayo R, Peña AJ, Quintana-Ortí ES, Roca V, Silla F (2014) On the use of remote gpus and low-power processors for the acceleration of scientific applications. In: The Fourth International Conference on Smart Grids, Green Communications and IT Energy-aware Technologies (ENERGY), pp 57–62
29.
Zurück zum Zitat Choi J, Dukhan M, Liu X, Vuduc R (2014) Algorithmic time, energy, and power on candidate hpc compute building blocks. In: 2014 IEEE 28th International Parallel and Distributed Processing Symposium, IEEE, pp 447–457 Choi J, Dukhan M, Liu X, Vuduc R (2014) Algorithmic time, energy, and power on candidate hpc compute building blocks. In: 2014 IEEE 28th International Parallel and Distributed Processing Symposium, IEEE, pp 447–457
30.
Zurück zum Zitat Cloutier MF, Paradis C, Weaver VM (2014) Design and analysis of a 32-bit embedded high-performance cluster optimized for energy and performance. In: Proceedings of the 1st International Workshop on Hardware-Software Co-Design for High Performance Computing, IEEE Press, pp 1–8 Cloutier MF, Paradis C, Weaver VM (2014) Design and analysis of a 32-bit embedded high-performance cluster optimized for energy and performance. In: Proceedings of the 1st International Workshop on Hardware-Software Co-Design for High Performance Computing, IEEE Press, pp 1–8
31.
Zurück zum Zitat Cong J, Huang M, Wu D, Yu CH (2016) Heterogeneous datacenters: options and opportunities. In: Proceedings of the 53rd Annual Design Automation Conference, ACM, p 16 Cong J, Huang M, Wu D, Yu CH (2016) Heterogeneous datacenters: options and opportunities. In: Proceedings of the 53rd Annual Design Automation Conference, ACM, p 16
32.
Zurück zum Zitat Corni E, Morganti L, Morigi MP, Brancaccio R, Bettuzzi M, Levi G, Peccenini E, Cesini D, Ferraro A (2016) X-ray computed tomography applied to objects of cultural heritage: Porting and testing the filtered back-projection reconstruction algorithm on low power systems-on-chip. In: 2016 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP), IEEE, pp 369–372 Corni E, Morganti L, Morigi MP, Brancaccio R, Bettuzzi M, Levi G, Peccenini E, Cesini D, Ferraro A (2016) X-ray computed tomography applied to objects of cultural heritage: Porting and testing the filtered back-projection reconstruction algorithm on low power systems-on-chip. In: 2016 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP), IEEE, pp 369–372
33.
Zurück zum Zitat D’Agostino D, Quarati A, Clematis A, Morganti L, Corni E, Giansanti V, Cesini D, Merelli I (2019) Soc-based computing infrastructures for scientific applications and commercial services: performance and economic evaluations. Future Gener Comput Syst 96:11–22CrossRef D’Agostino D, Quarati A, Clematis A, Morganti L, Corni E, Giansanti V, Cesini D, Merelli I (2019) Soc-based computing infrastructures for scientific applications and commercial services: performance and economic evaluations. Future Gener Comput Syst 96:11–22CrossRef
35.
Zurück zum Zitat Duran A, Ayguadé E, Badia RM, Labarta J, Martinell L, Martorell X, Planas J (2011) Ompss: a proposal for programming heterogeneous multi-core architectures. Parallel Process Lett 21(02):173–193MathSciNetCrossRef Duran A, Ayguadé E, Badia RM, Labarta J, Martinell L, Martorell X, Planas J (2011) Ompss: a proposal for programming heterogeneous multi-core architectures. Parallel Process Lett 21(02):173–193MathSciNetCrossRef
36.
Zurück zum Zitat Durand Y, Carpenter PM, Adami S, Bilas A, Dutoit D, Farcy A, Gaydadjiev G, Goodacre J, Katevenis M, Marazakis M et al (2014) Euroserver: Energy efficient node for european micro-servers. In: 2014 17th Euromicro Conference on Digital System Design (DSD), IEEE, pp 206–213 Durand Y, Carpenter PM, Adami S, Bilas A, Dutoit D, Farcy A, Gaydadjiev G, Goodacre J, Katevenis M, Marazakis M et al (2014) Euroserver: Energy efficient node for european micro-servers. In: 2014 17th Euromicro Conference on Digital System Design (DSD), IEEE, pp 206–213
37.
Zurück zum Zitat Elangovan VK, Badia RM, Parra EA (2012) Ompss-opencl programming model for heterogeneous systems. In: International Workshop on Languages and Compilers for Parallel Computing, Springer, pp 96–111 Elangovan VK, Badia RM, Parra EA (2012) Ompss-opencl programming model for heterogeneous systems. In: International Workshop on Languages and Compilers for Parallel Computing, Springer, pp 96–111
39.
Zurück zum Zitat Ferreron A, Jagtap R, Rusitoru R (2016) Identifying representative regions of parallel hpc applications: a cross-architectural evaluation. In: 2016 IEEE International Symposium on Workload Characterization (IISWC), IEEE, pp 1–2 Ferreron A, Jagtap R, Rusitoru R (2016) Identifying representative regions of parallel hpc applications: a cross-architectural evaluation. In: 2016 IEEE International Symposium on Workload Characterization (IISWC), IEEE, pp 1–2
41.
Zurück zum Zitat Filiposka S, Mishev A, Juiz C (2016) Current prospects towards energy-efficient top hpc systems. Comput Sci Inf Syst 13(1):151–171CrossRef Filiposka S, Mishev A, Juiz C (2016) Current prospects towards energy-efficient top hpc systems. Comput Sci Inf Syst 13(1):151–171CrossRef
42.
Zurück zum Zitat Fox T (2017) Revisiting the case of arm socs in high-performance computing clusters. Ph.D. thesis, School of Engineering, Brown University Fox T (2017) Revisiting the case of arm socs in high-performance computing clusters. Ph.D. thesis, School of Engineering, Brown University
43.
Zurück zum Zitat Frid N, Ivošević D, Sruk V (2015) Heterogeneity impact on mpsoc platforms performance. In: 2015 38th International Convention on Information and Communication Technology, Electronics and Microelectronics (MIPRO), IEEE, pp 1071–1076 Frid N, Ivošević D, Sruk V (2015) Heterogeneity impact on mpsoc platforms performance. In: 2015 38th International Convention on Information and Communication Technology, Electronics and Microelectronics (MIPRO), IEEE, pp 1071–1076
44.
Zurück zum Zitat Geveler M, Ribbrock D, Donner D, Ruelmann H, Höppke C, Schneider D, Tomaschewski D, Turek S (2016) The icarus white paper: a scalable, energy-efficient, solar-powered hpc center based on low power gpus. In: European Conference on Parallel Processing, Springer, pp 737–749 Geveler M, Ribbrock D, Donner D, Ruelmann H, Höppke C, Schneider D, Tomaschewski D, Turek S (2016) The icarus white paper: a scalable, energy-efficient, solar-powered hpc center based on low power gpus. In: European Conference on Parallel Processing, Springer, pp 737–749
46.
Zurück zum Zitat Grant RE, Levenhagen M, Olivier SL, DeBonis D, Pedretti KT, Laros JH III (2016) Standardizing power monitoring and control at exascale. Computer 49(10):38–46CrossRef Grant RE, Levenhagen M, Olivier SL, DeBonis D, Pedretti KT, Laros JH III (2016) Standardizing power monitoring and control at exascale. Computer 49(10):38–46CrossRef
47.
Zurück zum Zitat Grass T, Allande C, Armejach A, Rico A, Ayguadé E, Labarta J, Valero M, Casas M, Moreto M (2016) Musa: a multi-level simulation approach for next-generation hpc machines. In: SC16: International Conference for High Performance Computing, Networking, Storage and Analysis, IEEE, pp 526–537 Grass T, Allande C, Armejach A, Rico A, Ayguadé E, Labarta J, Valero M, Casas M, Moreto M (2016) Musa: a multi-level simulation approach for next-generation hpc machines. In: SC16: International Conference for High Performance Computing, Networking, Storage and Analysis, IEEE, pp 526–537
48.
Zurück zum Zitat Grasso I, Radojkovic P, Rajovic N, Gelado I, Ramirez A (2014) Energy efficient hpc on embedded socs: Optimization techniques for mali gpu. In: 2014 IEEE 28th International on Parallel and Distributed Processing Symposium, IEEE, pp 123–132 Grasso I, Radojkovic P, Rajovic N, Gelado I, Ramirez A (2014) Energy efficient hpc on embedded socs: Optimization techniques for mali gpu. In: 2014 IEEE 28th International on Parallel and Distributed Processing Symposium, IEEE, pp 123–132
49.
Zurück zum Zitat Griessl R, Peykanu M, Hagemeyer J, Porrmann M, Krupop S, vor dem Berge M, Kiesel T, Christmann W (2014) A scalable server architecture for next-generation heterogeneous compute clusters. In: 2014 12th IEEE International Conference on Embedded and Ubiquitous Computing (EUC), IEEE, pp 146–153 Griessl R, Peykanu M, Hagemeyer J, Porrmann M, Krupop S, vor dem Berge M, Kiesel T, Christmann W (2014) A scalable server architecture for next-generation heterogeneous compute clusters. In: 2014 12th IEEE International Conference on Embedded and Ubiquitous Computing (EUC), IEEE, pp 146–153
50.
Zurück zum Zitat Gu B, Kwak Y (2016) Map task allocation strategy in an arm-based hadoop cluster by using local storage as split cache. Int J Adv Media Commun 6(1):65–72CrossRef Gu B, Kwak Y (2016) Map task allocation strategy in an arm-based hadoop cluster by using local storage as split cache. Int J Adv Media Commun 6(1):65–72CrossRef
52.
Zurück zum Zitat Halpern M, Zhu Y, Reddi VJ (2016) Mobile cpu’s rise to power: Quantifying the impact of generational mobile cpu design trends on performance, energy, and user satisfaction. In: 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA), IEEE, pp 64–76 Halpern M, Zhu Y, Reddi VJ (2016) Mobile cpu’s rise to power: Quantifying the impact of generational mobile cpu design trends on performance, energy, and user satisfaction. In: 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA), IEEE, pp 64–76
55.
Zurück zum Zitat Jackson A, Turner A, Weiland M, Johnson N, Perks O, Parsons M (2019) Evaluating the arm ecosystem for high performance computing. arXiv preprint arXiv:1904.04250 Jackson A, Turner A, Weiland M, Johnson N, Perks O, Parsons M (2019) Evaluating the arm ecosystem for high performance computing. arXiv preprint arXiv:​1904.​04250
56.
Zurück zum Zitat Jacquet D, Hasbani F, Flatresse P, Wilson R, Arnaud F, Cesana G, Di Gilio T, Lecocq C, Roy T, Chhabra A et al (2014) A 3 ghz dual core processor arm cortex tm-a9 in 28 nm utbb fd-soi cmos with ultra-wide voltage range and energy efficiency optimization. IEEE J Solid-State Circ 49(4):812–826CrossRef Jacquet D, Hasbani F, Flatresse P, Wilson R, Arnaud F, Cesana G, Di Gilio T, Lecocq C, Roy T, Chhabra A et al (2014) A 3 ghz dual core processor arm cortex tm-a9 in 28 nm utbb fd-soi cmos with ultra-wide voltage range and energy efficiency optimization. IEEE J Solid-State Circ 49(4):812–826CrossRef
57.
Zurück zum Zitat Jarus M, Varrette S, Oleksiak A, Bouvry P (2013) Performance evaluation and energy efficiency of high-density hpc platforms based on intel, amd and arm processors. In: European Conference on Energy Efficiency in Large Scale Distributed Systems, Springer, pp 182–200 Jarus M, Varrette S, Oleksiak A, Bouvry P (2013) Performance evaluation and energy efficiency of high-density hpc platforms based on intel, amd and arm processors. In: European Conference on Energy Efficiency in Large Scale Distributed Systems, Springer, pp 182–200
58.
Zurück zum Zitat Jin C, de Supinski BR, Abramson D, Poxon H, DeRose L, Dinh MN, Endrei M, Jessup ER (2016) A survey on software methods to improve the energy efficiency of parallel computing. Int J High Perform Comput Appl 31:1094342016665471 Jin C, de Supinski BR, Abramson D, Poxon H, DeRose L, Dinh MN, Endrei M, Jessup ER (2016) A survey on software methods to improve the energy efficiency of parallel computing. Int J High Perform Comput Appl 31:1094342016665471
59.
Zurück zum Zitat Jundt A, Cauble-Chantrenne A, Tiwari A, Peraza J, Laurenzano MA, Carrington L (2015) Compute bottlenecks on the new 64-bit arm. In: Proceedings of the 3rd International Workshop on Energy Efficient Supercomputing, ACM, p 6 Jundt A, Cauble-Chantrenne A, Tiwari A, Peraza J, Laurenzano MA, Carrington L (2015) Compute bottlenecks on the new 64-bit arm. In: Proceedings of the 3rd International Workshop on Energy Efficient Supercomputing, ACM, p 6
60.
Zurück zum Zitat Jung YW, Sok SW, Santoso GZ, Shin JS, Kim HY (2015) Prototype of light-weight hypervisor for arm server virtualization. In: Proceedings of the International Conference on Embedded Systems and Applications (ESA). The Steering Committee of The World Congress in Computer Science, Computer Engineering and Applied Computing (WorldComp), p 36 Jung YW, Sok SW, Santoso GZ, Shin JS, Kim HY (2015) Prototype of light-weight hypervisor for arm server virtualization. In: Proceedings of the International Conference on Embedded Systems and Applications (ESA). The Steering Committee of The World Congress in Computer Science, Computer Engineering and Applied Computing (WorldComp), p 36
61.
Zurück zum Zitat Kaewkasi C, Srisuruk W (2014) Optimizing performance and power consumption for an arm-based big data cluster. In: TENCON 2014-2014 IEEE Region 10 Conference, IEEE, pp 1–6 Kaewkasi C, Srisuruk W (2014) Optimizing performance and power consumption for an arm-based big data cluster. In: TENCON 2014-2014 IEEE Region 10 Conference, IEEE, pp 1–6
62.
Zurück zum Zitat Kaewkasi C, Srisuruk W (2014) A study of big data processing constraints on a low-power hadoop cluster. In: 2014 International Computer Science and Engineering Conference (ICSEC), IEEE, pp 267–272 Kaewkasi C, Srisuruk W (2014) A study of big data processing constraints on a low-power hadoop cluster. In: 2014 International Computer Science and Engineering Conference (ICSEC), IEEE, pp 267–272
63.
Zurück zum Zitat Kalyanasundaram J, Simmhan Y (2017) Arm wrestling with big data: A study of arm64 and x64 servers for data intensive workloads. arXiv preprint arXiv:1701.05996 Kalyanasundaram J, Simmhan Y (2017) Arm wrestling with big data: A study of arm64 and x64 servers for data intensive workloads. arXiv preprint arXiv:​1701.​05996
64.
Zurück zum Zitat Kecskemeti G, Hajji W, Tso FP (2017) Modelling low power compute clusters for cloud simulation. In: 2017 25th Euromicro International Conference on Parallel, Distributed and Network-based Processing (PDP), IEEE, pp 39–45 Kecskemeti G, Hajji W, Tso FP (2017) Modelling low power compute clusters for cloud simulation. In: 2017 25th Euromicro International Conference on Parallel, Distributed and Network-based Processing (PDP), IEEE, pp 39–45
66.
67.
Zurück zum Zitat Kumar D, Memon S, Thebo LA (2018) Design, implementation & performance analysis of low cost high performance computing (hpc) clusters. In: 2018 12th International Conference on Signal Processing and Communication Systems (ICSPCS), IEEE, pp 1–6 Kumar D, Memon S, Thebo LA (2018) Design, implementation & performance analysis of low cost high performance computing (hpc) clusters. In: 2018 12th International Conference on Signal Processing and Communication Systems (ICSPCS), IEEE, pp 1–6
68.
Zurück zum Zitat Laurenzano MA, Tiwari A, Cauble-Chantrenne A, Jundt A, Ward WA, Campbell R, Carrington L (2016) Characterization and bottleneck analysis of a 64-bit armv8 platform. In: 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp 36–45. https://doi.org/10.1109/ISPASS.2016.7482072 Laurenzano MA, Tiwari A, Cauble-Chantrenne A, Jundt A, Ward WA, Campbell R, Carrington L (2016) Characterization and bottleneck analysis of a 64-bit armv8 platform. In: 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp 36–45. https://​doi.​org/​10.​1109/​ISPASS.​2016.​7482072
69.
Zurück zum Zitat Lee Y, Kim S (2015) Empirical characterization of power efficiency for large scale data processing. In: 2015 17th International Conference on Advanced Communication Technology (ICACT), IEEE, pp 787–790 Lee Y, Kim S (2015) Empirical characterization of power efficiency for large scale data processing. In: 2015 17th International Conference on Advanced Communication Technology (ICACT), IEEE, pp 787–790
70.
Zurück zum Zitat Loghin D, Tudor BM, Zhang H, Ooi BC, Teo YM (2015) A performance study of big data on small nodes. Proc VLDB Endow 8(7):762–773CrossRef Loghin D, Tudor BM, Zhang H, Ooi BC, Teo YM (2015) A performance study of big data on small nodes. Proc VLDB Endow 8(7):762–773CrossRef
71.
Zurück zum Zitat Lorenzon AF, Cera MC, Beck ACS (2015) On the influence of static power consumption in multicore embedded systems. In: 2015 IEEE International Symposium on Circuits and Systems (ISCAS), IEEE, pp 1374–1377 Lorenzon AF, Cera MC, Beck ACS (2015) On the influence of static power consumption in multicore embedded systems. In: 2015 IEEE International Symposium on Circuits and Systems (ISCAS), IEEE, pp 1374–1377
72.
Zurück zum Zitat Lorenzon AF, Sartor AL, Cera MC, Beck ACS (2015) Optimized use of parallel programming interfaces in multithreaded embedded architectures. In: 2015 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), IEEE, pp 410–415 Lorenzon AF, Sartor AL, Cera MC, Beck ACS (2015) Optimized use of parallel programming interfaces in multithreaded embedded architectures. In: 2015 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), IEEE, pp 410–415
73.
74.
Zurück zum Zitat Mantovani F, Calore E (2018) Performance and power analysis of hpc workloads on heterogeneous multi-node clusters. J Low Power Electron Appl 8(2):13CrossRef Mantovani F, Calore E (2018) Performance and power analysis of hpc workloads on heterogeneous multi-node clusters. J Low Power Electron Appl 8(2):13CrossRef
76.
Zurück zum Zitat Mappuji A, Effendy N, Mustaghfirin M, Sondok F, Yuniar RP, Pangesti SP (2016) Study of raspberry pi 2 quad-core cortex-a7 cpu cluster as a mini supercomputer. In: 2016 8th International Conference on Information Technology and Electrical Engineering (ICITEE), IEEE, pp 1–4 Mappuji A, Effendy N, Mustaghfirin M, Sondok F, Yuniar RP, Pangesti SP (2016) Study of raspberry pi 2 quad-core cortex-a7 cpu cluster as a mini supercomputer. In: 2016 8th International Conference on Information Technology and Electrical Engineering (ICITEE), IEEE, pp 1–4
77.
Zurück zum Zitat Maqbool J, Oh S, Fox GC (2015) Evaluating arm hpc clusters for scientific workloads. Concurr Comput Pract Exp 27(17):5390–5410CrossRef Maqbool J, Oh S, Fox GC (2015) Evaluating arm hpc clusters for scientific workloads. Concurr Comput Pract Exp 27(17):5390–5410CrossRef
78.
Zurück zum Zitat Maynard C, Selwood P (2016) Exascale computing research priorities for the met office forecasting research technical report no: 611 Maynard C, Selwood P (2016) Exascale computing research priorities for the met office forecasting research technical report no: 611
81.
Zurück zum Zitat McCalpin JD (1995) Memory bandwidth and machine balance in current high performance computers. IEEE Comput Soc Tech Comm Comput Arch (TCCA) Newslett 2:19–25 McCalpin JD (1995) Memory bandwidth and machine balance in current high performance computers. IEEE Comput Soc Tech Comm Comput Arch (TCCA) Newslett 2:19–25
85.
Zurück zum Zitat Moorthy P, Kapre N (2015) Zedwulf: Power-performance tradeoffs of a 32-node zynq soc cluster. In: 2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), IEEE, pp 68–75 Moorthy P, Kapre N (2015) Zedwulf: Power-performance tradeoffs of a 32-node zynq soc cluster. In: 2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), IEEE, pp 68–75
86.
Zurück zum Zitat Morales F, Bismarck JL (2016) Evaluating gem5 and qemu virtual platforms for arm multicore architectures. Master’s thesis, KTH, School of Information and Communication Technology (ICT) Morales F, Bismarck JL (2016) Evaluating gem5 and qemu virtual platforms for arm multicore architectures. Master’s thesis, KTH, School of Information and Communication Technology (ICT)
87.
Zurück zum Zitat Morganti L, Cesini D, Ferraro A (2016) Evaluating systems on chip through hpc bioinformatic and astrophysic applications. In: 2016 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP), IEEE, pp 541–544 Morganti L, Cesini D, Ferraro A (2016) Evaluating systems on chip through hpc bioinformatic and astrophysic applications. In: 2016 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP), IEEE, pp 541–544
88.
Zurück zum Zitat Nikolskiy V, Stegailov V (2016) Floating-point performance of arm cores and their efficiency in classical molecular dynamics. J Phys Conf Ser 681(1):012049CrossRef Nikolskiy V, Stegailov V (2016) Floating-point performance of arm cores and their efficiency in classical molecular dynamics. J Phys Conf Ser 681(1):012049CrossRef
90.
Zurück zum Zitat Oyarzun G, Borrell R, Gorobets A, Mantovani F, Oliva A (2018) Efficient cfd code implementation for the arm-based mont-blanc architecture. Future Gener Comput Syst 79:786–796CrossRef Oyarzun G, Borrell R, Gorobets A, Mantovani F, Oliva A (2018) Efficient cfd code implementation for the arm-based mont-blanc architecture. Future Gener Comput Syst 79:786–796CrossRef
91.
Zurück zum Zitat Plugaru V, Varrette S, Bouvry P (2014) Performance analysis of cloud environments on top of energy-efficient platforms featuring low power processors. In: 2014 IEEE 6th International Conference on Cloud Computing Technology and Science (CloudCom), IEEE, pp 416–425 Plugaru V, Varrette S, Bouvry P (2014) Performance analysis of cloud environments on top of energy-efficient platforms featuring low power processors. In: 2014 IEEE 6th International Conference on Cloud Computing Technology and Science (CloudCom), IEEE, pp 416–425
92.
Zurück zum Zitat Pruitt DD, Freudenthal EA (2016) Preliminary investigation of mobile system features potentially relevant to hpc. In: Proceedings of the 4th International Workshop on Energy Efficient Supercomputing, IEEE Press, pp 54–60 Pruitt DD, Freudenthal EA (2016) Preliminary investigation of mobile system features potentially relevant to hpc. In: Proceedings of the 4th International Workshop on Energy Efficient Supercomputing, IEEE Press, pp 54–60
93.
Zurück zum Zitat Puzović M, Manne S, GalOn S, Ono M (2016) Quantifying energy use in dense shared memory hpc node. In: Proceedings of the 4th International Workshop on Energy Efficient Supercomputing, E2SC ’16, pp 16–23. IEEE Press, Piscataway, NJ, USA. https://doi.org/10.1109/E2SC.2016.7 Puzović M, Manne S, GalOn S, Ono M (2016) Quantifying energy use in dense shared memory hpc node. In: Proceedings of the 4th International Workshop on Energy Efficient Supercomputing, E2SC ’16, pp 16–23. IEEE Press, Piscataway, NJ, USA. https://​doi.​org/​10.​1109/​E2SC.​2016.​7
94.
Zurück zum Zitat Raho M, Spyridakis A, Paolino M, Raho D (2015) Kvm, xen and docker: A performance analysis for arm based nfv and cloud computing. In: 2015 IEEE 3rd Workshop on Advances in IEEE Information, Electronic and Electrical Engineering (AIEEE), pp 1–8 Raho M, Spyridakis A, Paolino M, Raho D (2015) Kvm, xen and docker: A performance analysis for arm based nfv and cloud computing. In: 2015 IEEE 3rd Workshop on Advances in IEEE Information, Electronic and Electrical Engineering (AIEEE), pp 1–8
95.
Zurück zum Zitat Rajovic N, Carpenter PM, Gelado I, Puzovic N, Ramirez A, Valero M (2013) Supercomputing with commodity cpus: Are mobile socs ready for hpc? In: 2013 SC-International Conference for High Performance Computing, Networking, Storage and Analysis (SC), IEEE, pp 1–12 Rajovic N, Carpenter PM, Gelado I, Puzovic N, Ramirez A, Valero M (2013) Supercomputing with commodity cpus: Are mobile socs ready for hpc? In: 2013 SC-International Conference for High Performance Computing, Networking, Storage and Analysis (SC), IEEE, pp 1–12
96.
Zurück zum Zitat Rajovic N, Rico A, Mantovani F, Ruiz D, Vilarrubi JO, Gomez C, Backes L, Nieto D, Servat H, Martorell X, Labarta J, Ayguade E, Adeniyi-Jones C, Derradji S, Gloaguen H, Lanucara P, Sanna N, Mehaut JF, Pouget K, Videau B, Boyer E, Allalen M, Auweter A, Brayford D, Tafani D, Weinberg V, Brömmel D, Halver R, Meinke JH, Beivide R, Benito M, Vallejo E, Valero M, Ramirez A (2016) The mont-blanc prototype: An alternative approach for hpc systems. In: SC16: International Conference for High Performance Computing, Networking, Storage and Analysis, pp 444–455. https://doi.org/10.1109/SC.2016.37 Rajovic N, Rico A, Mantovani F, Ruiz D, Vilarrubi JO, Gomez C, Backes L, Nieto D, Servat H, Martorell X, Labarta J, Ayguade E, Adeniyi-Jones C, Derradji S, Gloaguen H, Lanucara P, Sanna N, Mehaut JF, Pouget K, Videau B, Boyer E, Allalen M, Auweter A, Brayford D, Tafani D, Weinberg V, Brömmel D, Halver R, Meinke JH, Beivide R, Benito M, Vallejo E, Valero M, Ramirez A (2016) The mont-blanc prototype: An alternative approach for hpc systems. In: SC16: International Conference for High Performance Computing, Networking, Storage and Analysis, pp 444–455. https://​doi.​org/​10.​1109/​SC.​2016.​37
97.
Zurück zum Zitat Rajovic N, Rico A, Puzovic N, Adeniyi-Jones C, Ramirez A (2014) Tibidabo: making the case for an arm-based hpc system. Future Gener Comput Syst 36:322–334CrossRef Rajovic N, Rico A, Puzovic N, Adeniyi-Jones C, Ramirez A (2014) Tibidabo: making the case for an arm-based hpc system. Future Gener Comput Syst 36:322–334CrossRef
98.
Zurück zum Zitat Reeda R, Cox MA, Wrigley T, Mellado B (2015) A cpu benchmarking characterization of arm based processors. Computer 7(3):581–586 Reeda R, Cox MA, Wrigley T, Mellado B (2015) A cpu benchmarking characterization of arm based processors. Computer 7(3):581–586
99.
Zurück zum Zitat Rethinagiri SK, Palomar O, Moreno JA, Unsal O, Cristal A (2015) Trigeneous platforms for energy efficient computing of hpc applications. In: 2015 IEEE 22nd International Conference on High Performance Computing (HiPC), IEEE, pp 264–274 Rethinagiri SK, Palomar O, Moreno JA, Unsal O, Cristal A (2015) Trigeneous platforms for energy efficient computing of hpc applications. In: 2015 IEEE 22nd International Conference on High Performance Computing (HiPC), IEEE, pp 264–274
100.
Zurück zum Zitat Ross JA, Richie DA, Park SJ, Shires DR, Pollock LL (2014) A case study of opencl on an android mobile gpu. In: 2014 IEEE High Performance Extreme Computing Conference (HPEC), pp 1–6 Ross JA, Richie DA, Park SJ, Shires DR, Pollock LL (2014) A case study of opencl on an android mobile gpu. In: 2014 IEEE High Performance Extreme Computing Conference (HPEC), pp 1–6
101.
Zurück zum Zitat Rostirolla G, da Rosa Righi R, Rodrigues VF, Velho P, Padoin EL (2015) Greenhpc: a novel framework to measure energy consumption on hpc applications. In: Sustainable Internet and ICT for Sustainability (SustainIT), 2015, IEEE, pp 1–8 Rostirolla G, da Rosa Righi R, Rodrigues VF, Velho P, Padoin EL (2015) Greenhpc: a novel framework to measure energy consumption on hpc applications. In: Sustainable Internet and ICT for Sustainability (SustainIT), 2015, IEEE, pp 1–8
102.
Zurück zum Zitat Ruiz D, Mantovani F, Casas M, Labarta J, Spiga F (2018) The HPCG benchmark: analysis, shared memory preliminary improvements and evaluation on an arm-based platform. Polytechnic University of Catalonia. http://hdl.handle.net/2117/116642. Accessed 5 May 2019 Ruiz D, Mantovani F, Casas M, Labarta J, Spiga F (2018) The HPCG benchmark: analysis, shared memory preliminary improvements and evaluation on an arm-based platform. Polytechnic University of Catalonia. http://​hdl.​handle.​net/​2117/​116642. Accessed 5 May 2019
104.
Zurück zum Zitat Schulz KW, Baird CR, Brayford D, Georgiou Y, Kurtzer GM, Simmel D, Sterling T, Sundararajan N, Van Hensbergen E (2016) Cluster computing with openhpc. In: HPCSYSPROS16: Inaugural HPC systems professionals workshop. http://hdl.handle.net/2022/21082 Schulz KW, Baird CR, Brayford D, Georgiou Y, Kurtzer GM, Simmel D, Sterling T, Sundararajan N, Van Hensbergen E (2016) Cluster computing with openhpc. In: HPCSYSPROS16: Inaugural HPC systems professionals workshop. http://​hdl.​handle.​net/​2022/​21082
105.
Zurück zum Zitat Schürmans S, Onnebrink G, Leupers R, Ascheid G, Chen X (2016) Frequency-aware esl power estimation for arm cortex-a9 using a black box processor model. ACM Trans Embed Comput Syst (TECS) 16(1):26 Schürmans S, Onnebrink G, Leupers R, Ascheid G, Chen X (2016) Frequency-aware esl power estimation for arm cortex-a9 using a black box processor model. ACM Trans Embed Comput Syst (TECS) 16(1):26
106.
Zurück zum Zitat Selinger A, Rupp K, Selberherr S (2016) Evaluation of mobile arm-based socs for high performance computing. In: Society for Computer Simulation International Proceedings of the 24th High Performance Computing Symposium, p 21 Selinger A, Rupp K, Selberherr S (2016) Evaluation of mobile arm-based socs for high performance computing. In: Society for Computer Simulation International Proceedings of the 24th High Performance Computing Symposium, p 21
109.
Zurück zum Zitat Silvano C, Agosta G, Bartolini A, Beccari AR, Benini L, Bispo J, Cmar R, Cardoso JM, Cavazzoni C, Martinovič J et al (2016) Autotuning and adaptivity approach for energy efficient exascale hpc systems: the antarex approach. In: Design, Automation & Test in Europe Conference & Exhibition (DATE), pp 708–713. IEEE (2016) Silvano C, Agosta G, Bartolini A, Beccari AR, Benini L, Bispo J, Cmar R, Cardoso JM, Cavazzoni C, Martinovič J et al (2016) Autotuning and adaptivity approach for energy efficient exascale hpc systems: the antarex approach. In: Design, Automation & Test in Europe Conference & Exhibition (DATE), pp 708–713. IEEE (2016)
110.
Zurück zum Zitat Sirin U, Appuswamy R, Ailamaki A (2016) Oltp on a server-grade arm: power, throughput and latency comparison. In: Proceedings of the 12th International Workshop on Data Management on New Hardware, ACM, p 10 Sirin U, Appuswamy R, Ailamaki A (2016) Oltp on a server-grade arm: power, throughput and latency comparison. In: Proceedings of the 12th International Workshop on Data Management on New Hardware, ACM, p 10
112.
Zurück zum Zitat Stephens N, Biles S, Boettcher M, Eapen J, Eyole M, Gabrielli G, Horsnell M, Magklis G, Martinez A, Premillieu N et al (2017) The arm scalable vector extension. IEEE Micro 37(2):26–39CrossRef Stephens N, Biles S, Boettcher M, Eapen J, Eyole M, Gabrielli G, Horsnell M, Magklis G, Martinez A, Premillieu N et al (2017) The arm scalable vector extension. IEEE Micro 37(2):26–39CrossRef
113.
Zurück zum Zitat Stokke KR, Stensland HK, Griwodz C, Halvorsen P (2016) A high-precision, hybrid gpu, cpu and ram power model for generic multimedia workloads. In: Proceedings of the 7th International Conference on Multimedia Systems, MMSys ’16, pp 14:1–14:12. ACM, New York, NY, USA. https://doi.org/10.1145/2910017.2910591 Stokke KR, Stensland HK, Griwodz C, Halvorsen P (2016) A high-precision, hybrid gpu, cpu and ram power model for generic multimedia workloads. In: Proceedings of the 7th International Conference on Multimedia Systems, MMSys ’16, pp 14:1–14:12. ACM, New York, NY, USA. https://​doi.​org/​10.​1145/​2910017.​2910591
114.
Zurück zum Zitat Stokke KR, Stensland HK, Halvorsen P, Griwodz C (2016) High-precision power modelling of the tegra k1 variable smp processor architecture. In: 2016 IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSOC), pp 193–200. https://doi.org/10.1109/MCSoC.2016.28 Stokke KR, Stensland HK, Halvorsen P, Griwodz C (2016) High-precision power modelling of the tegra k1 variable smp processor architecture. In: 2016 IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSOC), pp 193–200. https://​doi.​org/​10.​1109/​MCSoC.​2016.​28
116.
Zurück zum Zitat Sundriyal V, Fought E, Sosonkina M, Windus TL (2016) Power profiling and evaluating the effect of frequency scaling on nwchem. In: Society for Computer Simulation International Proceedings of the 24th High Performance Computing Symposium, p 19 Sundriyal V, Fought E, Sosonkina M, Windus TL (2016) Power profiling and evaluating the effect of frequency scaling on nwchem. In: Society for Computer Simulation International Proceedings of the 24th High Performance Computing Symposium, p 19
117.
Zurück zum Zitat Thompson SE, Parthasarathy S (2006) Moore’s law: the future of si microelectronics. Mater today 9(6):20–25CrossRef Thompson SE, Parthasarathy S (2006) Moore’s law: the future of si microelectronics. Mater today 9(6):20–25CrossRef
118.
Zurück zum Zitat Tiwari A, Keipert K, Jundt A, Peraza J, Leang SS, Laurenzano M, Gordon MS, Carrington L (2015) Performance and energy efficiency analysis of 64-bit arm using gamess. In: Proceedings of the 2nd International Workshop on Hardware-Software Co-Design for High Performance Computing, ACM, p 8 Tiwari A, Keipert K, Jundt A, Peraza J, Leang SS, Laurenzano M, Gordon MS, Carrington L (2015) Performance and energy efficiency analysis of 64-bit arm using gamess. In: Proceedings of the 2nd International Workshop on Hardware-Software Co-Design for High Performance Computing, ACM, p 8
120.
Zurück zum Zitat Villebonnet V, Da Costa G, Lefevre L, Pierson JM, Stolf P (2014) Towards generalizing”” big little”” for energy proportional hpc and cloud infrastructures. In: 2014 IEEE Fourth International Conference on Big Data and Cloud Computing (BdCloud), IEEE, pp 703–710 Villebonnet V, Da Costa G, Lefevre L, Pierson JM, Stolf P (2014) Towards generalizing”” big little”” for energy proportional hpc and cloud infrastructures. In: 2014 IEEE Fourth International Conference on Big Data and Cloud Computing (BdCloud), IEEE, pp 703–710
121.
122.
Zurück zum Zitat Whaley RC, Petitet A, Dongarra JJ (2001) Automated empirical optimizations of software and the atlas project. Parallel Comput 27(1–2):3–35MATHCrossRef Whaley RC, Petitet A, Dongarra JJ (2001) Automated empirical optimizations of software and the atlas project. Parallel Comput 27(1–2):3–35MATHCrossRef
123.
Zurück zum Zitat Whatmough PN, Das S, Hadjilambrou Z, Bull DM (2017) Power integrity analysis of a 28 nm dual-core arm cortex-a57 cluster using an all-digital power delivery monitor. IEEE J Solid-State Circ 52(6):1643–1654CrossRef Whatmough PN, Das S, Hadjilambrou Z, Bull DM (2017) Power integrity analysis of a 28 nm dual-core arm cortex-a57 cluster using an all-digital power delivery monitor. IEEE J Solid-State Circ 52(6):1643–1654CrossRef
124.
Zurück zum Zitat Wrigleya G, Reed R, Mellado B (2015) Memory benchmarking characterisation of arm-based socs. Computer 7(3):607–617 Wrigleya G, Reed R, Mellado B (2015) Memory benchmarking characterisation of arm-based socs. Computer 7(3):607–617
125.
Zurück zum Zitat Xie X (2016) Low-power technologies in high-performance computer: trends and perspectives. Natl Sci Rev 3(1):23–25CrossRef Xie X (2016) Low-power technologies in high-performance computer: trends and perspectives. Natl Sci Rev 3(1):23–25CrossRef
126.
Zurück zum Zitat Yoshida T (2018) Fujitsu high performance cpu for the post-k computer. In: Hot Chips 30 Symposium (HCS), Series Hot Chips, vol 18 Yoshida T (2018) Fujitsu high performance cpu for the post-k computer. In: Hot Chips 30 Symposium (HCS), Series Hot Chips, vol 18
127.
Zurück zum Zitat Zhang J, You S, Gruenwald L (2015) Tiny gpu cluster for big spatial data: A preliminary performance evaluation. In: 2015 IEEE 35th International Conference on Distributed Computing Systems Workshops (ICDCSW), IEEE, pp 142–147 Zhang J, You S, Gruenwald L (2015) Tiny gpu cluster for big spatial data: A preliminary performance evaluation. In: 2015 IEEE 35th International Conference on Distributed Computing Systems Workshops (ICDCSW), IEEE, pp 142–147
128.
Zurück zum Zitat Zhirnov VV, Cavin RK, Hutchby JA, Bourianoff GI (2003) Limits to binary logic switch scaling-a gedanken model. Proc IEEE 91(11):1934–1939CrossRef Zhirnov VV, Cavin RK, Hutchby JA, Bourianoff GI (2003) Limits to binary logic switch scaling-a gedanken model. Proc IEEE 91(11):1934–1939CrossRef
129.
Zurück zum Zitat Zhu Y, Mattina M, Whatmough P (2018) Mobile machine learning hardware at arm: a systems-on-chip (soc) perspective. arXiv preprint arXiv:1801.06274 Zhu Y, Mattina M, Whatmough P (2018) Mobile machine learning hardware at arm: a systems-on-chip (soc) perspective. arXiv preprint arXiv:​1801.​06274
Metadaten
Titel
The survey on ARM processors for HPC
verfasst von
Daniel Yokoyama
Bruno Schulze
Fábio Borges
Giacomo Mc Evoy
Publikationsdatum
08.06.2019
Verlag
Springer US
Erschienen in
The Journal of Supercomputing / Ausgabe 10/2019
Print ISSN: 0920-8542
Elektronische ISSN: 1573-0484
DOI
https://doi.org/10.1007/s11227-019-02911-9

Weitere Artikel der Ausgabe 10/2019

The Journal of Supercomputing 10/2019 Zur Ausgabe