Skip to main content
Erschienen in: The Journal of Supercomputing 10/2019

18.05.2019

Time-sensitivity-aware shared cache architecture for multi-core embedded systems

verfasst von: Myoungjun Lee, Soontae Kim

Erschienen in: The Journal of Supercomputing | Ausgabe 10/2019

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

In embedded systems such as automotive systems, multi-core processors are expected to improve performance and reduce manufacturing cost by integrating multiple functions on a single chip. However, inter-core interference in shared last-level cache (LLC) results in increased and unpredictable execution times for time-sensitive tasks (TSTs), which have (soft) timing constraints, thereby increasing the deadline miss rates of such systems. In this paper, we propose a time-sensitivity-aware dead block-based shared LLC architecture to mitigate these problems. First, a time-sensitivity indication bit is added to each cache block, which allows the proposed LLC architecture to be aware of instructions/data belonging to TSTs. Second, portions of the LLC space are allocated to general tasks without interfering with TSTs by developing a time-sensitivity-aware dead block-based cache partitioning technique. Third, to reduce the deadline miss rate of TSTs further, we propose a task matching in shared caches and a cache partitioning scheme that considers the memory access characteristics and the time-sensitivity of tasks (TATS). The TATS is combined with our proposed dead block-based scheme. Our evaluation shows that the proposed schemes reduce deadline miss rates of TSTs compared to conventional shared caches. On a dual-core system, compared to a baseline, equal partitioning, and state-of-the-art quality-of-service-aware cache partitioning, our proposed dead block-based cache partitioning provides 9.3%, 30.5%, and 2.6% lower average deadline miss rates, respectively. On a quad-core system, compared to the baseline, equal partitioning, and state-of-the-art quality-of-service-aware cache partitioning, the combination of our proposed schemes provides 21.2%, 17.7%, and 4.1% lower average deadline miss rates, respectively.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Fußnoten
1
In this study, we do not consider applications having hard timing constraints, such as engine control and power train, which require very strict timing constraints. We focus on the applications that can tolerate some deadline misses, which are called time-sensitive applications in this paper and [12].
 
2
The task categorization is dependent on the timing requirement of the task. If a task is periodically executed and has a (soft) deadline, the task would be categorized as a TST. For example, if there is a video decoding application that requires a frame rate of 30 fps, the video decoding task of the application can be considered as a TST because it is periodically executed and must be accomplished within 33 ms to achieve the required frame rate. On the other hand, if a task has no deadline but requires higher overall performance, the task would be categorized as a GT.
 
3
In this paper, performance predictability is defined as the inverse of the difference between the shortest and longest execution times of a task. A higher-performance predictability of a task indicates a smaller performance variation and better tail performance of the task.
 
4
In this paper, a TST of an application is defined as the core task that runs periodically and has soft timing constraints. A job is defined as an instance of the TST. A detailed model is presented in Sect. 4, and our evaluation methodology is similar to [27].
 
5
We do not consider tasks having hard timing constraints in this paper.
 
6
We assume high utilization to examine the impact of inter-core cache interference. High utilization is preferred for lower manufacturing cost of the system.
 
7
In this study, we allocate equal size cache partitions to TSTs. However, the cache space is not wasted because the actual cache partitioning is dynamically done during runtime. When some tasks are idle, the other tasks can occupy their cache space.
 
8
The parameters are also used in Algorithms 3 and 4.
 
9
This is because the maximum number of parallel tasks in a multi-core system is equal to the number of cores. The maximum number of groups is equal to that of cores when only TSTs are running.
 
10
If the number of cache partitions cannot be divided by that of current groups (e.g., 16 partitions shared by 3 groups), the remaining partitions are randomly allocated to the groups.
 
11
The number of cores used in this paper is at most 4. Therefore, 2-bit group field is used in this paper. Even with 64 cores, only 6 bits are needed.
 
12
\(t_{CL}\): CAS (Column Address Strobe) latency, \(t_{RCD}\): row address to column address delay, \(t_{RP}\): row precharge time.
 
13
In the experiments, we used configurations to fit the working sets of MiBench benchmarks and to model the inter-core cache interference in a harsh situation.
 
14
In this paper, we profile the benchmarks with a halved LLC. For more partitions, one can profile the tasks with LLCs that are partitioned into more than two segments. Nevertheless, halving LLC space can be a good estimator for the performance sensitivities of tasks. Similar categorization is used in [46].
 
15
To estimate the probability density of the execution times, kernel density estimation is applied to the data. For kernel function of the estimation, we used normal kernel function which implies that the probability of sampled execution times follows standard normal distribution.
 
Literatur
1.
Zurück zum Zitat Anderson JH, Bud V, Devi UC (2005) An EDF-based scheduling algorithm for multiprocessor soft real-time systems. In: Proceedings of the 17th Euromicro Conference on Real-Time Systems Anderson JH, Bud V, Devi UC (2005) An EDF-based scheduling algorithm for multiprocessor soft real-time systems. In: Proceedings of the 17th Euromicro Conference on Real-Time Systems
2.
Zurück zum Zitat Binkert N, Beckmann B, Black G, Reinhardt SK, Saidi A, Basu A, Hestness J, Hower DR, Krishna T, Sardashti S, Sen R, Sewell K, Shoaib M, Vaish N, Hill MD, Wood DA (2011) The gem5 simulator. SIGARCH Comput Archit News 39(2):1–7CrossRef Binkert N, Beckmann B, Black G, Reinhardt SK, Saidi A, Basu A, Hestness J, Hower DR, Krishna T, Sardashti S, Sen R, Sewell K, Shoaib M, Vaish N, Hill MD, Wood DA (2011) The gem5 simulator. SIGARCH Comput Archit News 39(2):1–7CrossRef
3.
Zurück zum Zitat Bui BD, Caccamo M, Sha L, Martinez J (2008) Impact of cache partitioning on multi-tasking real time embedded systems. In: Embedded and Real-Time Computing Systems and Applications Bui BD, Caccamo M, Sha L, Martinez J (2008) Impact of cache partitioning on multi-tasking real time embedded systems. In: Embedded and Real-Time Computing Systems and Applications
4.
Zurück zum Zitat Calandrino JM, Anderson JH (2008) Cache-aware real-time scheduling on multicore platforms: Heuristics and a case study. In: Euromicro Conference on Real-Time Systems, 2008. ECRTS’08. IEEE, pp 299–308 Calandrino JM, Anderson JH (2008) Cache-aware real-time scheduling on multicore platforms: Heuristics and a case study. In: Euromicro Conference on Real-Time Systems, 2008. ECRTS’08. IEEE, pp 299–308
5.
Zurück zum Zitat Chang J, Sohi GS (2014) Cooperative cache partitioning for chip multiprocessors. In: ACM International Conference on Supercomputing 25th Anniversary Volume Chang J, Sohi GS (2014) Cooperative cache partitioning for chip multiprocessors. In: ACM International Conference on Supercomputing 25th Anniversary Volume
6.
Zurück zum Zitat Chiou D, Jain P, Devadas S, Rudolph L (2000) Dynamic cache partitioning via columnization. In: DAC Chiou D, Jain P, Devadas S, Rudolph L (2000) Dynamic cache partitioning via columnization. In: DAC
7.
Zurück zum Zitat Chisholm M, Kim N, Ward BC, Otterness N, Anderson JH, Smith FD (2016) Reconciling the tension between hardware isolation and data sharing in mixed-criticality, multicore systems. In: RTSS Chisholm M, Kim N, Ward BC, Otterness N, Anderson JH, Smith FD (2016) Reconciling the tension between hardware isolation and data sharing in mixed-criticality, multicore systems. In: RTSS
8.
Zurück zum Zitat Ding H, Liang Y, Mitra T (2012) WCET-centric partial instruction cache locking. In: DAC Ding H, Liang Y, Mitra T (2012) WCET-centric partial instruction cache locking. In: DAC
9.
Zurück zum Zitat Ding H, Liang Y, Mitra T (2013) Integrated instruction cache analysis and locking in multitasking real-time systems. In: DAC Ding H, Liang Y, Mitra T (2013) Integrated instruction cache analysis and locking in multitasking real-time systems. In: DAC
10.
Zurück zum Zitat Ebert C, Favaro J (2017) Automotive software. IEEE Softw 34(3):33–39CrossRef Ebert C, Favaro J (2017) Automotive software. IEEE Softw 34(3):33–39CrossRef
11.
Zurück zum Zitat El-Sayed N, Mukkara A, Tsai PA, Kasture H, Ma X, Sanchez D (2018) KPart: a hybrid cache partitioning-sharing technique for commodity multicores. In: HPCA El-Sayed N, Mukkara A, Tsai PA, Kasture H, Ma X, Sanchez D (2018) KPart: a hybrid cache partitioning-sharing technique for commodity multicores. In: HPCA
12.
Zurück zum Zitat Goel A, Abeni L, Krasic C, Snow J, Walpole J (2002) Supporting time-sensitive applications on a commodity OS. SIGOPS Oper Syst Rev 36(SI):165–180CrossRef Goel A, Abeni L, Krasic C, Snow J, Walpole J (2002) Supporting time-sensitive applications on a commodity OS. SIGOPS Oper Syst Rev 36(SI):165–180CrossRef
13.
Zurück zum Zitat Guan N, Stigge M, Yi W, Yu G (2009) Cache-aware scheduling and analysis for multicores. In: Proceedings of the Seventh ACM International Conference on Embedded Software, ACM, pp 245–254 Guan N, Stigge M, Yi W, Yu G (2009) Cache-aware scheduling and analysis for multicores. In: Proceedings of the Seventh ACM International Conference on Embedded Software, ACM, pp 245–254
14.
Zurück zum Zitat Guo F, Solihin Y, Zhao L, Iyer R (2010) Quality of service shared cache management in chip multiprocessor architecture. ACM Trans Archit Code Optim 7(3):14CrossRef Guo F, Solihin Y, Zhao L, Iyer R (2010) Quality of service shared cache management in chip multiprocessor architecture. ACM Trans Archit Code Optim 7(3):14CrossRef
15.
Zurück zum Zitat Herdrich A, Verplanke E, Autee P, Illikkal R, Gianos C, Singhal R, Iyer R (2016) Cache QoS: from concept to reality in the intel® xeon® processor e5-2600 v3 product family. In: HPCA Herdrich A, Verplanke E, Autee P, Illikkal R, Gianos C, Singhal R, Iyer R (2016) Cache QoS: from concept to reality in the intel® xeon® processor e5-2600 v3 product family. In: HPCA
16.
Zurück zum Zitat Iyer R (2004) CQoS: A framework for enabling QoS in shared caches of CMP platforms. In: Proceedings of the 18th Annual International Conference on Supercomputing, ICS ’04 Iyer R (2004) CQoS: A framework for enabling QoS in shared caches of CMP platforms. In: Proceedings of the 18th Annual International Conference on Supercomputing, ICS ’04
17.
Zurück zum Zitat Jaleel A, Theobald KB, Steely SC Jr, Emer J (2010) High performance cache replacement using re-reference interval prediction (rrip). In: ISCA Jaleel A, Theobald KB, Steely SC Jr, Emer J (2010) High performance cache replacement using re-reference interval prediction (rrip). In: ISCA
18.
Zurück zum Zitat Kaxiras S, Hu Z, Martonosi M (2001) Cache decay: exploiting generational behavior to reduce cache leakage power. In: ACM SIGARCH Computer Architecture News Kaxiras S, Hu Z, Martonosi M (2001) Cache decay: exploiting generational behavior to reduce cache leakage power. In: ACM SIGARCH Computer Architecture News
19.
Zurück zum Zitat Kern D, Schmidt A (2009) Design space for driver-based automotive user interfaces. In: AutomotiveUI Kern D, Schmidt A (2009) Design space for driver-based automotive user interfaces. In: AutomotiveUI
20.
Zurück zum Zitat Kim H, Rajkumar RR (2018) Predictable shared cache management for multi-core real-time virtualization. TECS 17(1):22 Kim H, Rajkumar RR (2018) Predictable shared cache management for multi-core real-time virtualization. TECS 17(1):22
21.
Zurück zum Zitat Kim H, Kandhalu A, Rajkumar R (2013) A coordinated approach for practical OS-level cache management in multi-core real-time systems. In: 2013 25th Euromicro Conference on Real-Time Systems Kim H, Kandhalu A, Rajkumar R (2013) A coordinated approach for practical OS-level cache management in multi-core real-time systems. In: 2013 25th Euromicro Conference on Real-Time Systems
22.
Zurück zum Zitat Kim S, Chandra D, Solihin Y (2004) Fair cache sharing and partitioning in a chip multiprocessor architecture. In: PACT Kim S, Chandra D, Solihin Y (2004) Fair cache sharing and partitioning in a chip multiprocessor architecture. In: PACT
23.
Zurück zum Zitat Kirk D, Strosnider J (1990) SMART (strategic memory allocation for real-time) cache design using the mips r3000. In: RTSS Kirk D, Strosnider J (1990) SMART (strategic memory allocation for real-time) cache design using the mips r3000. In: RTSS
24.
Zurück zum Zitat Lesage B, Puaut I, Seznec A (2012) Preti: Partitioned real-time shared cache for mixed-criticality real-time systems. In: Proceedings of the 20th International Conference on Real-Time and Network Systems Lesage B, Puaut I, Seznec A (2012) Preti: Partitioned real-time shared cache for mixed-criticality real-time systems. In: Proceedings of the 20th International Conference on Real-Time and Network Systems
25.
Zurück zum Zitat Lin J, Lu Q, Ding X, Zhang Z, Zhang X, Sadayappan P (2008) Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems. In: HPCA Lin J, Lu Q, Ding X, Zhang Z, Zhang X, Sadayappan P (2008) Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems. In: HPCA
26.
Zurück zum Zitat Liu T, Li M, Xue CJ (2009) Instruction cache locking for real-time embedded systems with multi-tasks. In: RTCSA Liu T, Li M, Xue CJ (2009) Instruction cache locking for real-time embedded systems with multi-tasks. In: RTCSA
27.
Zurück zum Zitat Lo D, Song T, Suh GE (2015) Prediction-guided performance-energy trade-off for interactive applications. In: MICRO Lo D, Song T, Suh GE (2015) Prediction-guided performance-energy trade-off for interactive applications. In: MICRO
28.
Zurück zum Zitat Manikantan R, Rajan K, Govindarajan R (2012) Probabilistic shared cache management (PriSM). In: ISCA Manikantan R, Rajan K, Govindarajan R (2012) Probabilistic shared cache management (PriSM). In: ISCA
29.
Zurück zum Zitat Paolieri M, Quiñones E, Cazorla FJ, Bernat G, Valero M (2009) Hardware support for WCET analysis of hard real-time multicore systems. In: ISCA Paolieri M, Quiñones E, Cazorla FJ, Bernat G, Valero M (2009) Hardware support for WCET analysis of hard real-time multicore systems. In: ISCA
30.
Zurück zum Zitat Paolieri M, Quiñones E, Cazorla FJ, Bernat G, Valero M (2009) Hardware support for wcet analysis of hard real-time multicore systems. In: ACM SIGARCH Computer Architecture News, pp 57–68 Paolieri M, Quiñones E, Cazorla FJ, Bernat G, Valero M (2009) Hardware support for wcet analysis of hard real-time multicore systems. In: ACM SIGARCH Computer Architecture News, pp 57–68
31.
Zurück zum Zitat Puaut I, Decotigny D (2002) Low-complexity algorithms for static cache locking in multitasking hard real-time systems. In: RTSS Puaut I, Decotigny D (2002) Low-complexity algorithms for static cache locking in multitasking hard real-time systems. In: RTSS
32.
Zurück zum Zitat Puaut I, Pais C (2007) Scratchpad memories vs. locked caches in hard real-time systems: a quantitative comparison. In: DATE Puaut I, Pais C (2007) Scratchpad memories vs. locked caches in hard real-time systems: a quantitative comparison. In: DATE
33.
Zurück zum Zitat Qureshi M, Patt Y (2006) Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches. In: MICRO Qureshi M, Patt Y (2006) Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches. In: MICRO
34.
Zurück zum Zitat Rafique N, Lim WT, Thottethodi M (2006) Architectural support for operating system-driven CMP cache management. In: PACT Rafique N, Lim WT, Thottethodi M (2006) Architectural support for operating system-driven CMP cache management. In: PACT
35.
Zurück zum Zitat Sanchez D, Kozyrakis C (2011) Vantage: scalable and efficient fine-grain cache partitioning. SIGARCH Comput Archit News 39(3):57–68CrossRef Sanchez D, Kozyrakis C (2011) Vantage: scalable and efficient fine-grain cache partitioning. SIGARCH Comput Archit News 39(3):57–68CrossRef
36.
Zurück zum Zitat Sangiovanni-Vincentelli A, Di Natale M (2007) Embedded system design for automotive applications. Computer 40(10):42–51CrossRef Sangiovanni-Vincentelli A, Di Natale M (2007) Embedded system design for automotive applications. Computer 40(10):42–51CrossRef
37.
Zurück zum Zitat Srikantaiah S, Kandemir M, Wang Q (2009) SHARP control: controlled shared cache management in chip multiprocessors. In: MICRO Srikantaiah S, Kandemir M, Wang Q (2009) SHARP control: controlled shared cache management in chip multiprocessors. In: MICRO
38.
Zurück zum Zitat Subramanian L, Seshadri V, Ghosh A, Khan S, Mutlu O (2015) The application slowdown model: Quantifying and controlling the impact of inter-application interference at shared caches and main memory. In: Proceedings of the 48th International Symposium on Microarchitecture, pp 62–75 Subramanian L, Seshadri V, Ghosh A, Khan S, Mutlu O (2015) The application slowdown model: Quantifying and controlling the impact of inter-application interference at shared caches and main memory. In: Proceedings of the 48th International Symposium on Microarchitecture, pp 62–75
39.
Zurück zum Zitat Suh GE, Rudolph L, Devadas S (2004) Dynamic partitioning of shared cache memory. J Supercomput 28(1):7–26CrossRef Suh GE, Rudolph L, Devadas S (2004) Dynamic partitioning of shared cache memory. J Supercomput 28(1):7–26CrossRef
40.
Zurück zum Zitat Tam D, Azimi R, Stumm M (2007) Thread clustering: sharing-aware scheduling on smp-cmp-smt multiprocessors. ACM SIGOPS Oper Syst Rev 41:47–58CrossRef Tam D, Azimi R, Stumm M (2007) Thread clustering: sharing-aware scheduling on smp-cmp-smt multiprocessors. ACM SIGOPS Oper Syst Rev 41:47–58CrossRef
41.
Zurück zum Zitat Usui H, Subramanian L, Chang KKW, Mutlu O (2016) DASH: deadline-aware high-performance memory scheduler for heterogeneous systems with hardware accelerators. ACM Trans Archit Code Optim 12(4):65CrossRef Usui H, Subramanian L, Chang KKW, Mutlu O (2016) DASH: deadline-aware high-performance memory scheduler for heterogeneous systems with hardware accelerators. ACM Trans Archit Code Optim 12(4):65CrossRef
42.
Zurück zum Zitat Vasilios K, Georgios K, Nikolaos V (2018) Combining software cache partitioning and loop tiling for effective shared cache management. ACM Trans Embedded Comput Syst (TECS) 17(3):72 Vasilios K, Georgios K, Nikolaos V (2018) Combining software cache partitioning and loop tiling for effective shared cache management. ACM Trans Embedded Comput Syst (TECS) 17(3):72
43.
Zurück zum Zitat Wang X, Chen S, Setter J, Martínez JF (2017) Swap: Effective fine-grain management of shared last-level caches with minimum hardware support. In: HPCA Wang X, Chen S, Setter J, Martínez JF (2017) Swap: Effective fine-grain management of shared last-level caches with minimum hardware support. In: HPCA
44.
Zurück zum Zitat Ward B, Herman J, Kenna C, Anderson J (2013) Making shared caches more predictable on multicore platforms. In: ECRTS Ward B, Herman J, Kenna C, Anderson J (2013) Making shared caches more predictable on multicore platforms. In: ECRTS
45.
Zurück zum Zitat Wilhelm R, Engblom J, Ermedahl A, Holsti N, Thesing S, Whalley D, Bernat G, Ferdinand C, Heckmann R, Mitra T, Mueller F, Puaut I, Puschner P, Staschulat J, Stenström P (2008) The worst-case execution-time problem: overview of methods and survey of tools. ACM Trans Embed Comput Syst 7(3):36CrossRef Wilhelm R, Engblom J, Ermedahl A, Holsti N, Thesing S, Whalley D, Bernat G, Ferdinand C, Heckmann R, Mitra T, Mueller F, Puaut I, Puschner P, Staschulat J, Stenström P (2008) The worst-case execution-time problem: overview of methods and survey of tools. ACM Trans Embed Comput Syst 7(3):36CrossRef
46.
Zurück zum Zitat Xie Y, Loh G (2008) Dynamic classification of program memory behaviors in CMPS. In: the 2nd Workshop on Chip Multiprocessor Memory Systems and Interconnects Xie Y, Loh G (2008) Dynamic classification of program memory behaviors in CMPS. In: the 2nd Workshop on Chip Multiprocessor Memory Systems and Interconnects
47.
Zurück zum Zitat Xie Y, Loh GH (2009) PIPP: Promotion/insertion pseudo-partitioning of multi-core shared caches. SIGARCH Comput Archit News 37(3):174–183CrossRef Xie Y, Loh GH (2009) PIPP: Promotion/insertion pseudo-partitioning of multi-core shared caches. SIGARCH Comput Archit News 37(3):174–183CrossRef
48.
Zurück zum Zitat Xu C, Rajamani K, Ferreira A, Felter W, Rubio J, Li Y (2018) dCat: dynamic cache management for efficient, performance-sensitive infrastructure-as-a-service. In: EuroSys Xu C, Rajamani K, Ferreira A, Felter W, Rubio J, Li Y (2018) dCat: dynamic cache management for efficient, performance-sensitive infrastructure-as-a-service. In: EuroSys
49.
Zurück zum Zitat Ye Y, West R, Cheng Z, Li Y (2014) Coloris: a dynamic cache partitioning system using page coloring. In: 2014 23rd International Conference on Parallel Architecture and Compilation Techniques (PACT) Ye Y, West R, Cheng Z, Li Y (2014) Coloris: a dynamic cache partitioning system using page coloring. In: 2014 23rd International Conference on Parallel Architecture and Compilation Techniques (PACT)
Metadaten
Titel
Time-sensitivity-aware shared cache architecture for multi-core embedded systems
verfasst von
Myoungjun Lee
Soontae Kim
Publikationsdatum
18.05.2019
Verlag
Springer US
Erschienen in
The Journal of Supercomputing / Ausgabe 10/2019
Print ISSN: 0920-8542
Elektronische ISSN: 1573-0484
DOI
https://doi.org/10.1007/s11227-019-02891-w

Weitere Artikel der Ausgabe 10/2019

The Journal of Supercomputing 10/2019 Zur Ausgabe