Skip to main content

2018 | OriginalPaper | Buchkapitel

10. 3D Integration

verfasst von : John H. Lau

Erschienen in: Fan-Out Wafer-Level Packaging

Verlag: Springer Singapore

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The Electronics Industry has been the largest industry since 1996 and may well reach 2 trillion dollars by the end of 2018. 3D IC packaging, 3D IC integration, and 3D Si integration will be discussed in this chapter.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Lau, J.H. 2013. Through-Silicon Vias for 3D Integration. New York: McGraw-Hill. Lau, J.H. 2013. Through-Silicon Vias for 3D Integration. New York: McGraw-Hill.
2.
Zurück zum Zitat Lau, J.H. 2011. Reliability of RoHS Compliant 2D & 3D IC Interconnects. New York: McGraw-Hill. Lau, J.H. 2011. Reliability of RoHS Compliant 2D & 3D IC Interconnects. New York: McGraw-Hill.
3.
Zurück zum Zitat Lau, J.H., C.K. Lee, C.S. Premachandran, and A. Yu. 2010. Advanced MEMS Packaging. New York: McGraw-Hill. Lau, J.H., C.K. Lee, C.S. Premachandran, and A. Yu. 2010. Advanced MEMS Packaging. New York: McGraw-Hill.
4.
Zurück zum Zitat Moore, G. 1965. Cramming More Components Onto Integrated Circuits. Electronics 38 (8): 114–117. Moore, G. 1965. Cramming More Components Onto Integrated Circuits. Electronics 38 (8): 114–117.
5.
Zurück zum Zitat Lau, J.H., P. Tzeng, C. Lee, C. Zhan, M. Li, J. Cline, K. Saito, Y. Hsin, P. Chang, Y. Chang, J. Chen, S. Chen, C. Wu, H. Chang, C. Chien, C. Lin, T. Ku, R. Lo, and M. Kao. 2014. Redistribution Layers (RDLs) for 2.5D/3D IC Integration. IMAPS Journal of Microelectronics and Electronic Packaging 11 (1): 16–24.CrossRef Lau, J.H., P. Tzeng, C. Lee, C. Zhan, M. Li, J. Cline, K. Saito, Y. Hsin, P. Chang, Y. Chang, J. Chen, S. Chen, C. Wu, H. Chang, C. Chien, C. Lin, T. Ku, R. Lo, and M. Kao. 2014. Redistribution Layers (RDLs) for 2.5D/3D IC Integration. IMAPS Journal of Microelectronics and Electronic Packaging 11 (1): 16–24.CrossRef
6.
Zurück zum Zitat Lau, J.H. 2014. The Future of Interposer for Semiconductor IC Packaging. Chip Scale Review 18 (1): 32–36. Lau, J.H. 2014. The Future of Interposer for Semiconductor IC Packaging. Chip Scale Review 18 (1): 32–36.
7.
Zurück zum Zitat Hsieh, M.C., S.T. Wu, C.J. Wu, and J.H. Lau. 2014. Energy Release Rate Estimation for Through Silicon Vias in 3-D IC Integration. IEEE Transactions on Components, Packaging and Manufacturing Technology 4 (1): 57–65.CrossRef Hsieh, M.C., S.T. Wu, C.J. Wu, and J.H. Lau. 2014. Energy Release Rate Estimation for Through Silicon Vias in 3-D IC Integration. IEEE Transactions on Components, Packaging and Manufacturing Technology 4 (1): 57–65.CrossRef
8.
Zurück zum Zitat Lau, J.H. 2013. Supply Chains for High-Volume Manufacturing of 3D IC Integration. Chip Scale Review 17 (1): 33–39. Lau, J.H. 2013. Supply Chains for High-Volume Manufacturing of 3D IC Integration. Chip Scale Review 17 (1): 33–39.
9.
Zurück zum Zitat Khan, N., H. Li, S. Tan, S. Ho, V. Kripesh, D. Pinjala, J.H. Lau, and T. Chuan. 2013. 3-D Packaging with Through-Silicon Via (TSV) for Electrical and Fluidic Interconnections. IEEE Transactions on Components, Packaging and Manufacturing Technology 3 (2): 221–228.CrossRef Khan, N., H. Li, S. Tan, S. Ho, V. Kripesh, D. Pinjala, J.H. Lau, and T. Chuan. 2013. 3-D Packaging with Through-Silicon Via (TSV) for Electrical and Fluidic Interconnections. IEEE Transactions on Components, Packaging and Manufacturing Technology 3 (2): 221–228.CrossRef
10.
Zurück zum Zitat Lau, J.H., and G.Y. Tang. 2012. Effects of TSVs (Through-Silicon Vias) on Thermal Performances of 3D IC Integration System-In-Package (SiP). Journal of Microelectronics Reliability 52 (11): 2660–2669.CrossRef Lau, J.H., and G.Y. Tang. 2012. Effects of TSVs (Through-Silicon Vias) on Thermal Performances of 3D IC Integration System-In-Package (SiP). Journal of Microelectronics Reliability 52 (11): 2660–2669.CrossRef
11.
Zurück zum Zitat Lau, J.H. 2012. Recent Advances and New Trends in Nanotechnology and 3D Integration for Semiconductor Industry. ECS Transactions 44 (1): 805–825.CrossRef Lau, J.H. 2012. Recent Advances and New Trends in Nanotechnology and 3D Integration for Semiconductor Industry. ECS Transactions 44 (1): 805–825.CrossRef
12.
Zurück zum Zitat Chien, H.C., J.H. Lau, Y. Chao, R. Tain, M. Dai, S.T. Wu, W. Lo, and M.J. Kao. 2012. Thermal Performance of 3D IC Integration with Through-Silicon Via (TSV). IMAPS Journal of Microelectronics and Electronic Packaging 9 (2): 97–103.CrossRef Chien, H.C., J.H. Lau, Y. Chao, R. Tain, M. Dai, S.T. Wu, W. Lo, and M.J. Kao. 2012. Thermal Performance of 3D IC Integration with Through-Silicon Via (TSV). IMAPS Journal of Microelectronics and Electronic Packaging 9 (2): 97–103.CrossRef
13.
Zurück zum Zitat Chen, J.C., J.H. Lau, P.J. Tzeng, S. Chen, C. Wu, C. Chen, H. Yu, Y. Hsu, S. Shen, S. Liao, C. Ho, C. Lin, T.K. Ku, and M.J. Kao. 2012. Effects of Slurry in Cu Chemical Mechanical Polishing (CMP) of TSVs for 3-D IC Integration. IEEE Transactions on Components, Packaging and Manufacturing Technology 2 (6): 956–963.CrossRef Chen, J.C., J.H. Lau, P.J. Tzeng, S. Chen, C. Wu, C. Chen, H. Yu, Y. Hsu, S. Shen, S. Liao, C. Ho, C. Lin, T.K. Ku, and M.J. Kao. 2012. Effects of Slurry in Cu Chemical Mechanical Polishing (CMP) of TSVs for 3-D IC Integration. IEEE Transactions on Components, Packaging and Manufacturing Technology 2 (6): 956–963.CrossRef
14.
Zurück zum Zitat Lee, C.K., T.C. Chang, J.H. Lau, Y. Huang, H. Fu, J. Huang, Z. Hsiao, C. Ko, R. Cheng, P. Chang, K. Kao, Y. Lu, R. Lo, and M. Kao. 2012. Wafer Bumping, Assembly, and Reliability of Fine-Pitch Lead-Free Micro Solder Joints for 3-D IC Integration. IEEE Transactions on Components, Packaging and Manufacturing Technology 2 (8): 1229–1238.CrossRef Lee, C.K., T.C. Chang, J.H. Lau, Y. Huang, H. Fu, J. Huang, Z. Hsiao, C. Ko, R. Cheng, P. Chang, K. Kao, Y. Lu, R. Lo, and M. Kao. 2012. Wafer Bumping, Assembly, and Reliability of Fine-Pitch Lead-Free Micro Solder Joints for 3-D IC Integration. IEEE Transactions on Components, Packaging and Manufacturing Technology 2 (8): 1229–1238.CrossRef
15.
Zurück zum Zitat Sekhar, V.N., L. Shen, A. Kumar, T.C. Chai, X. Zhang, C.S. Premchandran, V. Kripesh, S. Yoon, and J.H. Lau. 2012. Study on the Effect of Wafer Back Grinding Process on Nanomechanical Behavior of Multilayered Low-K Stack. IEEE Transactions on Components, Packaging and Manufacturing Technology 2 (1): 3–12. Sekhar, V.N., L. Shen, A. Kumar, T.C. Chai, X. Zhang, C.S. Premchandran, V. Kripesh, S. Yoon, and J.H. Lau. 2012. Study on the Effect of Wafer Back Grinding Process on Nanomechanical Behavior of Multilayered Low-K Stack. IEEE Transactions on Components, Packaging and Manufacturing Technology 2 (1): 3–12.
16.
Zurück zum Zitat Zhang, X., R. Rajoo, C.S. Selvanayagam, A. Kumar, V. Rao, N. Khan, V. Kripesh, J.H. Lau, D. Kwong, V. Sundaram, and R.R. Tummala. 2012. Application of Piezoresistive Stress Sensor in Wafer Bumping and Drop Impact Test of Embedded Ultra-thin Device. IEEE Transactions on Components, Packaging and Manufacturing Technology 2 (16): 935–943.CrossRef Zhang, X., R. Rajoo, C.S. Selvanayagam, A. Kumar, V. Rao, N. Khan, V. Kripesh, J.H. Lau, D. Kwong, V. Sundaram, and R.R. Tummala. 2012. Application of Piezoresistive Stress Sensor in Wafer Bumping and Drop Impact Test of Embedded Ultra-thin Device. IEEE Transactions on Components, Packaging and Manufacturing Technology 2 (16): 935–943.CrossRef
17.
Zurück zum Zitat Wu, C., S. Chen, P. Tzeng, J.H. Lau, Y. Hsu, J. Chen, Y. Hsin, C. Chen, S. Shen, C. Lin, T. Ku, and M. Kao. 2012. Oxide Liner, Barrier and Seed Layers, and Cu-Plating of Blind Through Silicon Vias (TSVs) on 300 mm Wafers for 3D IC Integration. IMAPS Journal of Microelectronics and Electronic Packaging 9 (1): 31–36.CrossRef Wu, C., S. Chen, P. Tzeng, J.H. Lau, Y. Hsu, J. Chen, Y. Hsin, C. Chen, S. Shen, C. Lin, T. Ku, and M. Kao. 2012. Oxide Liner, Barrier and Seed Layers, and Cu-Plating of Blind Through Silicon Vias (TSVs) on 300 mm Wafers for 3D IC Integration. IMAPS Journal of Microelectronics and Electronic Packaging 9 (1): 31–36.CrossRef
18.
Zurück zum Zitat Lau, J.H., M.S. Zhang, and S.W.R. Lee. 2011. Embedded 3D Hybrid IC Integration System-in-Package (SiP) for Opto-Electronic Interconnects in Organic Substrates. ASME Journal of Electronic Packaging 133 (3): 1–7.CrossRef Lau, J.H., M.S. Zhang, and S.W.R. Lee. 2011. Embedded 3D Hybrid IC Integration System-in-Package (SiP) for Opto-Electronic Interconnects in Organic Substrates. ASME Journal of Electronic Packaging 133 (3): 1–7.CrossRef
19.
Zurück zum Zitat Chai, T.C., X. Zhang, J.H. Lau, C.S. Selvanayagam, D. Pinjala, Y. Hoe, Y. Ong, V. Rao, E. Wai, H. Li, E. Liao, N. Ranganathan, V. Kripesh, S. Liu, J. Sun, M. Ravi, C. Vath III, and Y. Tsutsumi. 2011. Development of Large Die Fine-Pitch Cu/Low-k FCBGA Package with Through Silicon Via (TSV) Interposer. IEEE Transactions on Components, Packaging and Manufacturing Technology 1 (5): 660–672.CrossRef Chai, T.C., X. Zhang, J.H. Lau, C.S. Selvanayagam, D. Pinjala, Y. Hoe, Y. Ong, V. Rao, E. Wai, H. Li, E. Liao, N. Ranganathan, V. Kripesh, S. Liu, J. Sun, M. Ravi, C. Vath III, and Y. Tsutsumi. 2011. Development of Large Die Fine-Pitch Cu/Low-k FCBGA Package with Through Silicon Via (TSV) Interposer. IEEE Transactions on Components, Packaging and Manufacturing Technology 1 (5): 660–672.CrossRef
20.
Zurück zum Zitat Lau, J.H. 2011. TSV Interposers: The Most Cost-Effective Integrator for 3D IC Integration. Chip Scale Review 15 (5): 23–27. Lau, J.H. 2011. TSV Interposers: The Most Cost-Effective Integrator for 3D IC Integration. Chip Scale Review 15 (5): 23–27.
21.
Zurück zum Zitat Kumar, A., X. Zhang, Q. Zhang, M. Jong, G. Huang, V. Lee, V. Kripesh, C. Lee, J.H. Lau, D. Kwong, V. Sundaram, R.R. Tummula, and G. Meyer-Berg. 2011. Residual Stress Analysis in Thin Device Wafer Using Piezoresistive Stress Sensor. IEEE Transactions on Components, Packaging and Manufacturing Technology 1 (6): 841–851.CrossRef Kumar, A., X. Zhang, Q. Zhang, M. Jong, G. Huang, V. Lee, V. Kripesh, C. Lee, J.H. Lau, D. Kwong, V. Sundaram, R.R. Tummula, and G. Meyer-Berg. 2011. Residual Stress Analysis in Thin Device Wafer Using Piezoresistive Stress Sensor. IEEE Transactions on Components, Packaging and Manufacturing Technology 1 (6): 841–851.CrossRef
22.
Zurück zum Zitat Yu, A., J.H. Lau, S. Ho, A. Kumar, W. Hnin, W. Lee, M. Jong, V. Sekhar, V. Kripesh, D. Pinjala, S. Chen, C. Chan, C. Chao, C. Chiu, C. Huang, and C. Chen. 2011. Fabrication of High Aspect Ratio TSV and Assembly with Fine-Pitch Low-Cost Solder Microbump for Si Interposer Technology with High-Density Interconnects. IEEE Transactions on Components, Packaging and Manufacturing Technology 1 (9): 1336–1344.CrossRef Yu, A., J.H. Lau, S. Ho, A. Kumar, W. Hnin, W. Lee, M. Jong, V. Sekhar, V. Kripesh, D. Pinjala, S. Chen, C. Chan, C. Chao, C. Chiu, C. Huang, and C. Chen. 2011. Fabrication of High Aspect Ratio TSV and Assembly with Fine-Pitch Low-Cost Solder Microbump for Si Interposer Technology with High-Density Interconnects. IEEE Transactions on Components, Packaging and Manufacturing Technology 1 (9): 1336–1344.CrossRef
23.
Zurück zum Zitat Ong, Y.Y., S. Ho, V. Sekhar, X. Ong, J. Ong, X. Zhang, V. Kripesh, S. Yoon, J.H. Lau, Y. Lim, D. Yeo, K. Chan, Y. Zhang, J. Tan, and D. Sohn. 2011. Underfill Selection, Characterization, and Reliability Study for Fine-Pitch, Large Die Cu/Low-k Flip Chip Package. IEEE Transactions on Components, Packaging and Manufacturing Technology 1 (3): 279–290.CrossRef Ong, Y.Y., S. Ho, V. Sekhar, X. Ong, J. Ong, X. Zhang, V. Kripesh, S. Yoon, J.H. Lau, Y. Lim, D. Yeo, K. Chan, Y. Zhang, J. Tan, and D. Sohn. 2011. Underfill Selection, Characterization, and Reliability Study for Fine-Pitch, Large Die Cu/Low-k Flip Chip Package. IEEE Transactions on Components, Packaging and Manufacturing Technology 1 (3): 279–290.CrossRef
24.
Zurück zum Zitat Lau, J.H. 2011. Overview and Outlook of TSV and 3D Integrations. Journal of Microelectronics International 28 (2): 8–22.CrossRef Lau, J.H. 2011. Overview and Outlook of TSV and 3D Integrations. Journal of Microelectronics International 28 (2): 8–22.CrossRef
25.
Zurück zum Zitat Lau, J.H., C.-J. Zhan, P.-J. Tzeng, C.-K. Lee, M.-J. Dai, H.-C. Chien, Y.-L. Chao, W. Li, S.-T. Wu, J.-F. Hung, R.-M. Tain, C.-H. Lin, Y.-C. Hsin, C.-C. Chen, S.-C. Chen, C.-Y. Wu, J.-C. Chen, C.-H. Chien, C.-W. Chiang, H. Chang, W.-L. Tsai, R.-S. Cheng, S.-Y. Huang, Y.-M. Lin, T.-C. Chang, C.-D. Ko, T.-H. Chen, S.-S. Sheu, S.-H. Wu, Y.-H. Chen, W.-C. Lo, T.-K. Ku, M.-J. Kao, and D.-Q. Hu. 2011. Feasibility Study of a 3D IC Integration System-in-Packaging (SiP) from a 300 mm Multi-Project Wafer (MPW). IMAPS Journal of Microelectronics and Electronic Packaging 8 (4): 171–178.CrossRef Lau, J.H., C.-J. Zhan, P.-J. Tzeng, C.-K. Lee, M.-J. Dai, H.-C. Chien, Y.-L. Chao, W. Li, S.-T. Wu, J.-F. Hung, R.-M. Tain, C.-H. Lin, Y.-C. Hsin, C.-C. Chen, S.-C. Chen, C.-Y. Wu, J.-C. Chen, C.-H. Chien, C.-W. Chiang, H. Chang, W.-L. Tsai, R.-S. Cheng, S.-Y. Huang, Y.-M. Lin, T.-C. Chang, C.-D. Ko, T.-H. Chen, S.-S. Sheu, S.-H. Wu, Y.-H. Chen, W.-C. Lo, T.-K. Ku, M.-J. Kao, and D.-Q. Hu. 2011. Feasibility Study of a 3D IC Integration System-in-Packaging (SiP) from a 300 mm Multi-Project Wafer (MPW). IMAPS Journal of Microelectronics and Electronic Packaging 8 (4): 171–178.CrossRef
26.
Zurück zum Zitat Sheu, S., Z. Lin, J. Hung, J.H. Lau, P. Chen, S. Wu, K. Su, C. Lin, S. Lai, T. Ku, W. Lo, and M. Kao. 2011. An Electrical Testing Method for Blind Through Silicon Vias (TSVs) for 3D IC Integration. IMAPS Journal of Microelectronics and Electronic Packaging 8 (4): 140–145.CrossRef Sheu, S., Z. Lin, J. Hung, J.H. Lau, P. Chen, S. Wu, K. Su, C. Lin, S. Lai, T. Ku, W. Lo, and M. Kao. 2011. An Electrical Testing Method for Blind Through Silicon Vias (TSVs) for 3D IC Integration. IMAPS Journal of Microelectronics and Electronic Packaging 8 (4): 140–145.CrossRef
27.
Zurück zum Zitat Lau, J.H. 2010. Critical Issues of 3D IC Integrations. IMAPS Journal of Microelectronics and Electronic Packaging 7 (1): 35–43.CrossRef Lau, J.H. 2010. Critical Issues of 3D IC Integrations. IMAPS Journal of Microelectronics and Electronic Packaging 7 (1): 35–43.CrossRef
28.
Zurück zum Zitat Lau, J.H., Y.S. Chan, and R.S.W. Lee. 2010. 3D IC Integration with TSV Interposers for High-Performance Applications. Chip Scale Review 14 (5): 26–29. Lau, J.H., Y.S. Chan, and R.S.W. Lee. 2010. 3D IC Integration with TSV Interposers for High-Performance Applications. Chip Scale Review 14 (5): 26–29.
29.
Zurück zum Zitat Lau, J.H. 2010. Design and Process of 3D MEMS Packaging. IMAPS Journal of Microelectronics and Electronic Packaging 7 (1): 10–15.CrossRef Lau, J.H. 2010. Design and Process of 3D MEMS Packaging. IMAPS Journal of Microelectronics and Electronic Packaging 7 (1): 10–15.CrossRef
30.
Zurück zum Zitat Lau, J.H., R. Lee, M. Yuen, and P. Chan. 2010. 3D LED and IC Wafer Level Packaging. Journal of Microelectronics International 27 (2): 98–105.CrossRef Lau, J.H., R. Lee, M. Yuen, and P. Chan. 2010. 3D LED and IC Wafer Level Packaging. Journal of Microelectronics International 27 (2): 98–105.CrossRef
31.
Zurück zum Zitat Lau, J.H. 2010. State-of-the-Art and Trends in 3D Integration. Chip Scale Review 14 (2): 22–28. Lau, J.H. 2010. State-of-the-Art and Trends in 3D Integration. Chip Scale Review 14 (2): 22–28.
32.
Zurück zum Zitat Tang, G.Y., S. Tan, N. Khan, D. Pinjala, J.H. Lau, A. Yu, V. Kripesh, and K. Toh. 2010. Integrated Liquid Cooling Systems for 3-D Stacked TSV Modules. IEEE Transactions on Components, Packaging and Manufacturing Technology 33 (1): 184–195.CrossRef Tang, G.Y., S. Tan, N. Khan, D. Pinjala, J.H. Lau, A. Yu, V. Kripesh, and K. Toh. 2010. Integrated Liquid Cooling Systems for 3-D Stacked TSV Modules. IEEE Transactions on Components, Packaging and Manufacturing Technology 33 (1): 184–195.CrossRef
33.
Zurück zum Zitat Khan, N., V. Rao, S. Lim, H. We, V. Lee, X. Zhang, E. Liao, R. Nagarajan, T.C. Chai, V. Kripesh, and J.H. Lau. 2010. Development of 3-D Silicon Module with TSV for System in Packaging. IEEE Transactions on Components, Packaging and Manufacturing Technology 33 (1): 3–9.CrossRef Khan, N., V. Rao, S. Lim, H. We, V. Lee, X. Zhang, E. Liao, R. Nagarajan, T.C. Chai, V. Kripesh, and J.H. Lau. 2010. Development of 3-D Silicon Module with TSV for System in Packaging. IEEE Transactions on Components, Packaging and Manufacturing Technology 33 (1): 3–9.CrossRef
34.
Zurück zum Zitat Lau, J.H., and G. Tang. 2009. Thermal Management of 3D IC Integration with TSV (Through Silicon Via). In IEEE 59th Electronic Components and Technology Conference, 635–640. San Diego, CA, 26–29 May 2009. Lau, J.H., and G. Tang. 2009. Thermal Management of 3D IC Integration with TSV (Through Silicon Via). In IEEE 59th Electronic Components and Technology Conference, 635–640. San Diego, CA, 26–29 May 2009.
35.
Zurück zum Zitat Yu, A., N. Khan, G. Archit, D. Pinjala, K. Toh, V. Kripesh, S. Yoon, and J.H. Lau. 2009. Fabrication of Silicon Carriers with TSV Electrical Interconnections and Embedded Thermal Solutions for High Power 3-D Packages. IEEE Transactions on Components, Packaging and Manufacturing Technology 32 (3): 566–571.CrossRef Yu, A., N. Khan, G. Archit, D. Pinjala, K. Toh, V. Kripesh, S. Yoon, and J.H. Lau. 2009. Fabrication of Silicon Carriers with TSV Electrical Interconnections and Embedded Thermal Solutions for High Power 3-D Packages. IEEE Transactions on Components, Packaging and Manufacturing Technology 32 (3): 566–571.CrossRef
36.
Zurück zum Zitat Selvanayagam, C., J.H. Lau, X. Zhang, S. Seah, K. Vaidyanathan, and T.C. Chai. 2008. Nonlinear Thermal Stress/Strain Analyses of Copper Filled TSV (Through Silicon Via) and Their Flip-Chip Microbumps. In IEEE 58th Electronic Components and Technology Conference, 1073–1081. Lake Buena Vista, FL, 27–30 May 2008. Selvanayagam, C., J.H. Lau, X. Zhang, S. Seah, K. Vaidyanathan, and T.C. Chai. 2008. Nonlinear Thermal Stress/Strain Analyses of Copper Filled TSV (Through Silicon Via) and Their Flip-Chip Microbumps. In IEEE 58th Electronic Components and Technology Conference, 1073–1081. Lake Buena Vista, FL, 27–30 May 2008.
37.
Zurück zum Zitat Zhang, X., A. Kumar, Q.X. Zhang, Y.Y. Ong, S.W. Ho, C.H. Khong, V. Kripesh, J.H. Lau, D.-L. Kwong, V. Sundaram, R.R. Tummula, and G. Meyer-Berg. 2009. Application of Piezoresistive Stress Sensors in Ultra Thin Device Handling and Characterization. Journal of Sensors and Actuators A 156 (1): 2–7.CrossRef Zhang, X., A. Kumar, Q.X. Zhang, Y.Y. Ong, S.W. Ho, C.H. Khong, V. Kripesh, J.H. Lau, D.-L. Kwong, V. Sundaram, R.R. Tummula, and G. Meyer-Berg. 2009. Application of Piezoresistive Stress Sensors in Ultra Thin Device Handling and Characterization. Journal of Sensors and Actuators A 156 (1): 2–7.CrossRef
38.
Zurück zum Zitat Lau, J.H., C.-K. Lee, C.-J. Zhan, S.-T. Wu, Y.-L. Chao, M.-J. Dai, R.-M. Tain, H.-C. Chien, J.-F. Hung, C.-H. Chien, R.-S. Cheng, Y.-W. Huang, Y.-M. Cheng, L.-L. Liao, W.-C. Lo, and M.-J. Kao. 2014. Through-Silicon Hole Interposers for 3-D IC Integration. IEEE Transactions on Components, Packaging and Manufacturing Technology 4 (9): 1407–1419.CrossRef Lau, J.H., C.-K. Lee, C.-J. Zhan, S.-T. Wu, Y.-L. Chao, M.-J. Dai, R.-M. Tain, H.-C. Chien, J.-F. Hung, C.-H. Chien, R.-S. Cheng, Y.-W. Huang, Y.-M. Cheng, L.-L. Liao, W.-C. Lo, and M.-J. Kao. 2014. Through-Silicon Hole Interposers for 3-D IC Integration. IEEE Transactions on Components, Packaging and Manufacturing Technology 4 (9): 1407–1419.CrossRef
39.
Zurück zum Zitat Lau, J.H., R.S.W. Lee, M. Yuen, J. Wu, C. Lo, H. Fan, and H. Chen. 2013. Apparatus Having Thermal-Enhanced and Cost-Effective 3D IC Integration Structure with Through Silicon Via Interposer. U.S. Patent No. 8,604,603. Lau, J.H., R.S.W. Lee, M. Yuen, J. Wu, C. Lo, H. Fan, and H. Chen. 2013. Apparatus Having Thermal-Enhanced and Cost-Effective 3D IC Integration Structure with Through Silicon Via Interposer. U.S. Patent No. 8,604,603.
40.
Zurück zum Zitat Tummula, R., and M. Swaminathan. 2008. System-On-Package: Miniaturization of the Entire System. New York: McGraw-Hill. Tummula, R., and M. Swaminathan. 2008. System-On-Package: Miniaturization of the Entire System. New York: McGraw-Hill.
41.
Zurück zum Zitat Xie, J., H. Shi, Y. Li, Z. Li, A. Rahman, K. Chandrasekar, D. Ratakonda, M. Deo, K. Chanda, V. Hool, M. Lee, N. Vodrahalli, D. Ibbotson, and T. Verma. 2012. Enabling the 2.5D Integration. In Proceedings of IMAPS International Symposium on Microelectronics, 254–267. San Diego, CA, 9–13 Sept 2012. Xie, J., H. Shi, Y. Li, Z. Li, A. Rahman, K. Chandrasekar, D. Ratakonda, M. Deo, K. Chanda, V. Hool, M. Lee, N. Vodrahalli, D. Ibbotson, and T. Verma. 2012. Enabling the 2.5D Integration. In Proceedings of IMAPS International Symposium on Microelectronics, 254–267. San Diego, CA, 9–13 Sept 2012.
42.
Zurück zum Zitat Li, Z., H. Shi, J. Xie, and A. Rahman. 2012. Development of an Optimized Power Delivery System for 3D IC Integration with TSV Silicon Interposer. In IEEE 62nd Electronic Components and Technology Conference, 678–682. San Diego, CA, May 29–June 1 2012. Li, Z., H. Shi, J. Xie, and A. Rahman. 2012. Development of an Optimized Power Delivery System for 3D IC Integration with TSV Silicon Interposer. In IEEE 62nd Electronic Components and Technology Conference, 678–682. San Diego, CA, May 29–June 1 2012.
43.
Zurück zum Zitat Kwon, W., M. Kim, J. Chang, S. Ramalingam, L. Madden, G. Tsai, S. Tseng, J. Lai, T. Lu, and S. Chin. 2013. Enabling a Manufacturable 3D Technologies and Ecosystem Using 28 nm FPGA with Stack Silicon Interconnect Technology. In IMAPS 46th International Symposium on Microelectronics, 217–222. Orlando, FL, Sept 30–Oct 3 2013. Kwon, W., M. Kim, J. Chang, S. Ramalingam, L. Madden, G. Tsai, S. Tseng, J. Lai, T. Lu, and S. Chin. 2013. Enabling a Manufacturable 3D Technologies and Ecosystem Using 28 nm FPGA with Stack Silicon Interconnect Technology. In IMAPS 46th International Symposium on Microelectronics, 217–222. Orlando, FL, Sept 30–Oct 3 2013.
44.
Zurück zum Zitat Juergen, M., W.K. Zoschke, A. Klumpp, R. Wieland, M. Klein, L. Nebrich, A. Heinig, I. Limansyah, W. Weber, O. Ehrmann, and H. Reichl. 2009. 3D Integration of Image Sensor SiP Using TSV Silicon Interposer. In IEEE 11th Electronics Packaging Technology Conference, 795–800. Singapore, 9–11 Dec 2009. Juergen, M., W.K. Zoschke, A. Klumpp, R. Wieland, M. Klein, L. Nebrich, A. Heinig, I. Limansyah, W. Weber, O. Ehrmann, and H. Reichl. 2009. 3D Integration of Image Sensor SiP Using TSV Silicon Interposer. In IEEE 11th Electronics Packaging Technology Conference, 795–800. Singapore, 9–11 Dec 2009.
45.
Zurück zum Zitat Hsin, Y.C., C. Chen, J.H. Lau, P. Tzeng, S. Shen, Y. Hsu, S. Chen, C. Wn, J. Chen, T. Ku, and M. Kao. 2011. Effects of Etch Rate on Scallop of Through-Silicon Vias (TSVs) in 200 mm and 300 mm Wafers. In IEEE 61st Electronic Components and Technology Conference, 1130–1135. Orlando, FL, May 31–June 3 2011. Hsin, Y.C., C. Chen, J.H. Lau, P. Tzeng, S. Shen, Y. Hsu, S. Chen, C. Wn, J. Chen, T. Ku, and M. Kao. 2011. Effects of Etch Rate on Scallop of Through-Silicon Vias (TSVs) in 200 mm and 300 mm Wafers. In IEEE 61st Electronic Components and Technology Conference, 1130–1135. Orlando, FL, May 31–June 3 2011.
46.
Zurück zum Zitat Garrou, P., C. Bower, and P. Ramm. 2009. 3D Integration: Technology and Applications. New York: Wiley. Garrou, P., C. Bower, and P. Ramm. 2009. 3D Integration: Technology and Applications. New York: Wiley.
47.
Zurück zum Zitat Ramm, P., M. Wolf, A. Klumpp, R. Wieland, B. Wunderle, B. Michel, and H. Reichl. 2008. Through Silicon Via Technology—Processes and Reliability for Wafer-Level 3D System Integration. In IEEE 58th Electronic Components and Technology Conference, 847–852. Orlando, FL, 27–30 May 2008. Ramm, P., M. Wolf, A. Klumpp, R. Wieland, B. Wunderle, B. Michel, and H. Reichl. 2008. Through Silicon Via Technology—Processes and Reliability for Wafer-Level 3D System Integration. In IEEE 58th Electronic Components and Technology Conference, 847–852. Orlando, FL, 27–30 May 2008.
48.
Zurück zum Zitat Andry, P.S., C.K. Tsang, B.C. Webb, E.J. Sprogis, S.L. Wright, B. Bang, and D.G. Manzer. 2008. Fabrication and Characterization of Robust Through-Silicon Vias for Silicon-Carrier Applications. IBM Journal of Research and Development 52 (6): 571–581.CrossRef Andry, P.S., C.K. Tsang, B.C. Webb, E.J. Sprogis, S.L. Wright, B. Bang, and D.G. Manzer. 2008. Fabrication and Characterization of Robust Through-Silicon Vias for Silicon-Carrier Applications. IBM Journal of Research and Development 52 (6): 571–581.CrossRef
49.
Zurück zum Zitat Knickerbocker, J.U., P.S. Andry, B. Dang, R.R. Horton, C.S. Patel, R.J. Polastre, K. Sakuma, E.S. Sprogis, C.K. Tsang, B.C. Webb, and S.L.Wright. 2008. 3-D Silicon Integration. In IEEE 58th Electronic Components and Technology Conference, 538–543. Lake Buena Vista, FL, 27–30 May 2008. Knickerbocker, J.U., P.S. Andry, B. Dang, R.R. Horton, C.S. Patel, R.J. Polastre, K. Sakuma, E.S. Sprogis, C.K. Tsang, B.C. Webb, and S.L.Wright. 2008. 3-D Silicon Integration. In IEEE 58th Electronic Components and Technology Conference, 538–543. Lake Buena Vista, FL, 27–30 May 2008.
50.
Zurück zum Zitat Shorey, A., S. Pollard, A. Streltsov, G. Piech, and R.Wagner. 2012. Development of Substrates for Through Glass Vias (TGV) for 3DS-IC Integration. In IEEE 62nd Electronic Components and Technology Conference, 289–291, San Diego, CA, May 29–June 1 2012. Shorey, A., S. Pollard, A. Streltsov, G. Piech, and R.Wagner. 2012. Development of Substrates for Through Glass Vias (TGV) for 3DS-IC Integration. In IEEE 62nd Electronic Components and Technology Conference, 289–291, San Diego, CA, May 29–June 1 2012.
51.
Zurück zum Zitat Sundaram, V., Q. Chen, G. Kumar, F. Liu, R. Tummala, and Y. Suzuki. 2012. Low-Cost and Low-Loss 3D Silicon Interposer for High Bandwidth Logic-to-Memory Interconnections Without TSV in the Logic IC. In IEEE 62nd Electronic Components and Technology Conference, 292–297. San Diego, CA, May 29–June 1 2012. Sundaram, V., Q. Chen, G. Kumar, F. Liu, R. Tummala, and Y. Suzuki. 2012. Low-Cost and Low-Loss 3D Silicon Interposer for High Bandwidth Logic-to-Memory Interconnections Without TSV in the Logic IC. In IEEE 62nd Electronic Components and Technology Conference, 292–297. San Diego, CA, May 29–June 1 2012.
52.
Zurück zum Zitat Lee, H.S., Y.-S. Choi, E. Song, K. Choi, T. Cho, and S. Kang. 2007. Power Delivery Network Design for 3D SIP Integrated Over Silicon Interposer Platform. In IEEE 57th Electronic Components and Technology Conference, 1193–1198. Reno, NV, May 29–June 1 2007. Lee, H.S., Y.-S. Choi, E. Song, K. Choi, T. Cho, and S. Kang. 2007. Power Delivery Network Design for 3D SIP Integrated Over Silicon Interposer Platform. In IEEE 57th Electronic Components and Technology Conference, 1193–1198. Reno, NV, May 29–June 1 2007.
53.
Zurück zum Zitat Messemaeker, J., O. Pedreira, B. Vandevelde, H. Philipsen, I. Wolf, E. Beyne, and K. Croes. 2013. Impact of Post-Plating Anneal and Through-Silicon Via Dimensions on Cu Pumping. In IEEE 63rd Electronic Components and Technology Conference, 586–591. Las Vegas, NV, 28–31 May 2013. Messemaeker, J., O. Pedreira, B. Vandevelde, H. Philipsen, I. Wolf, E. Beyne, and K. Croes. 2013. Impact of Post-Plating Anneal and Through-Silicon Via Dimensions on Cu Pumping. In IEEE 63rd Electronic Components and Technology Conference, 586–591. Las Vegas, NV, 28–31 May 2013.
54.
Zurück zum Zitat Morikawa, Y., T. Murayama, Y. Nakamuta, T. Sakuishi, A. Suzuki, and K. Suu. 2013. Total Cost Effective Scallop Free Si Etching for 2.5D & 3D TSV Fabrication Technologies in 300 mm Wafer. In IEEE 63rd Electronic Components and Technology Conference, 605–607. Las Vegas, NV, 28–31 May 2013. Morikawa, Y., T. Murayama, Y. Nakamuta, T. Sakuishi, A. Suzuki, and K. Suu. 2013. Total Cost Effective Scallop Free Si Etching for 2.5D & 3D TSV Fabrication Technologies in 300 mm Wafer. In IEEE 63rd Electronic Components and Technology Conference, 605–607. Las Vegas, NV, 28–31 May 2013.
55.
Zurück zum Zitat Shi, X., P. Sun, Y. Tsui, P. Law, S. Yau, C. Leung, Y. Liu, C. Chung, S. Ma, M. Miao, and Y. Jin. 2010. Development of CMOS-Process-Compatible Interconnect Technology for 3D-Stacking of NAND Flash Memory Chips. In IEEE 60th Electronic Components and Technology Conference, 74–78. Las Vegas, NV, 1–4 June 2010. Shi, X., P. Sun, Y. Tsui, P. Law, S. Yau, C. Leung, Y. Liu, C. Chung, S. Ma, M. Miao, and Y. Jin. 2010. Development of CMOS-Process-Compatible Interconnect Technology for 3D-Stacking of NAND Flash Memory Chips. In IEEE 60th Electronic Components and Technology Conference, 74–78. Las Vegas, NV, 1–4 June 2010.
56.
Zurück zum Zitat Kikuchi, K., C. Ueda, K. Takemura, O. Shimada, T. Gomyo, Y. Takeuchi, T. Ookubo, K. Baba, M. Aoyagi, T. Sudo, and K. Otsuka. 2010. Low-Impedance Evaluation of Power Distribution Network for Decoupling Capacitor Embedded Interposers of 3-D Integrated LSI System. In IEEE 60th Electronic Components and Technology Conference, 1455–1460. Las Vegas, NV, 1–4 June 2010. Kikuchi, K., C. Ueda, K. Takemura, O. Shimada, T. Gomyo, Y. Takeuchi, T. Ookubo, K. Baba, M. Aoyagi, T. Sudo, and K. Otsuka. 2010. Low-Impedance Evaluation of Power Distribution Network for Decoupling Capacitor Embedded Interposers of 3-D Integrated LSI System. In IEEE 60th Electronic Components and Technology Conference, 1455–1460. Las Vegas, NV, 1–4 June 2010.
57.
Zurück zum Zitat Sridharan, V., S. Min, V. Sundaram, V. Sukumaran, S. Hwang, H. Chan, F. Liu, C. Nopper, and R. Tummala. 2010. Design and Fabrication of Bandpass Filters in Glass Interposer with Through-Package-Vias (TPV). In IEEE 60th Electronic Components and Technology Conference, 530–535. Las Vegas, NV, 1–4 June 2010. Sridharan, V., S. Min, V. Sundaram, V. Sukumaran, S. Hwang, H. Chan, F. Liu, C. Nopper, and R. Tummala. 2010. Design and Fabrication of Bandpass Filters in Glass Interposer with Through-Package-Vias (TPV). In IEEE 60th Electronic Components and Technology Conference, 530–535. Las Vegas, NV, 1–4 June 2010.
58.
Zurück zum Zitat Lau, J.H. 2016. Recent Advances and New Trends in Flip Chip Technology. ASME Transactions, Journal of Electronic Packaging 138 (3): 1–23. Lau, J.H. 2016. Recent Advances and New Trends in Flip Chip Technology. ASME Transactions, Journal of Electronic Packaging 138 (3): 1–23.
59.
Zurück zum Zitat Sakuma, K., K. Sueoka, S. Kohara, K. Matsumoto, H. Noma, T. Aoki, Y. Oyama, H. Nishiwaki, P.S. Andry, C.K. Tsang, J. Knickerbocker, and Y. Orii. 2010. IMC Bonding for 3D Interconnection. In IEEE 60th Electronic Components and Technology Conference, 864–871. Las Vegas, NV, 1–4 June 2010. Sakuma, K., K. Sueoka, S. Kohara, K. Matsumoto, H. Noma, T. Aoki, Y. Oyama, H. Nishiwaki, P.S. Andry, C.K. Tsang, J. Knickerbocker, and Y. Orii. 2010. IMC Bonding for 3D Interconnection. In IEEE 60th Electronic Components and Technology Conference, 864–871. Las Vegas, NV, 1–4 June 2010.
60.
Zurück zum Zitat Doany, F., B. Lee, C. Schow, C. Tsang, C. Baks, Y. Kwark, R. John, J. Knickerbocker, and J. Kash. 2010. Terabit/s-Class 24-Channel Bidirectional Optical Transceiver Module Based on TSV Si Carrier for Board-Level Interconnects. In IEEE 60th Electronic Components and Technology Conference, 58–65. Las Vegas, NV, 1–4 June 2010. Doany, F., B. Lee, C. Schow, C. Tsang, C. Baks, Y. Kwark, R. John, J. Knickerbocker, and J. Kash. 2010. Terabit/s-Class 24-Channel Bidirectional Optical Transceiver Module Based on TSV Si Carrier for Board-Level Interconnects. In IEEE 60th Electronic Components and Technology Conference, 58–65. Las Vegas, NV, 1–4 June 2010.
61.
Zurück zum Zitat Khan, N., D. Wee, O. Chiew, C. Sharmani, L. Lim, H. Li, and S. Vasarala. 2010. Three Chips Stacking with Low Volume Solder Using Single Re-Flow Process. In IEEE 60th Electronic Components and Technology Conference, 884–888. Las Vegas, NV, 1–4 June 2010. Khan, N., D. Wee, O. Chiew, C. Sharmani, L. Lim, H. Li, and S. Vasarala. 2010. Three Chips Stacking with Low Volume Solder Using Single Re-Flow Process. In IEEE 60th Electronic Components and Technology Conference, 884–888. Las Vegas, NV, 1–4 June 2010.
62.
Zurück zum Zitat Trigg, A., L. Yu, X. Zhang, C. Chong, C. Kuo, N. Khan, and D. Yu. 2010. Design and Fabrication of a Reliability Test Chip for 3D-TSV. In IEEE 60th Electronic Components and Technology Conference, 79–83. Las Vegas, NV, 1–4 June 2010. Trigg, A., L. Yu, X. Zhang, C. Chong, C. Kuo, N. Khan, and D. Yu. 2010. Design and Fabrication of a Reliability Test Chip for 3D-TSV. In IEEE 60th Electronic Components and Technology Conference, 79–83. Las Vegas, NV, 1–4 June 2010.
63.
Zurück zum Zitat Agarwal, R., W. Zhang, P. Limaye, R. Labie, B. Dimcic, A. Phommahaxay, and P. Soussan. 2010. Cu/Sn Microbumps Interconnect for 3D TSV Chip Stacking. In IEEE 60th Electronic Components and Technology Conference, 858–863. Las Vegas, NV, 1–4 June 2010. Agarwal, R., W. Zhang, P. Limaye, R. Labie, B. Dimcic, A. Phommahaxay, and P. Soussan. 2010. Cu/Sn Microbumps Interconnect for 3D TSV Chip Stacking. In IEEE 60th Electronic Components and Technology Conference, 858–863. Las Vegas, NV, 1–4 June 2010.
64.
Zurück zum Zitat Lau, J.H. 2014. Overview and Outlook of 3D IC Packaging, 3D IC Integration, and 3D Si Integration. ASME Transactions, Journal of Electronic Packaging 136 (4): 1–15. Lau, J.H. 2014. Overview and Outlook of 3D IC Packaging, 3D IC Integration, and 3D Si Integration. ASME Transactions, Journal of Electronic Packaging 136 (4): 1–15.
65.
Zurück zum Zitat Liu, H., K. Wang, K. Aasmundtveit, and N. Hoivik. (2010). Intermetallic Cu3Sn as Oxidation Barrier for Fluxless Cu-Sn Bonding. In IEEE 60th Electronic Components and Technology Conference, 853–857. Las Vegas, NV, 1–4 June 2010. Liu, H., K. Wang, K. Aasmundtveit, and N. Hoivik. (2010). Intermetallic Cu3Sn as Oxidation Barrier for Fluxless Cu-Sn Bonding. In IEEE 60th Electronic Components and Technology Conference, 853–857. Las Vegas, NV, 1–4 June 2010.
66.
Zurück zum Zitat Kang, I., G. Jung, B. Jeon, J. Yoo, and S. Jeong. 2010. Wafer Level Embedded System in Package (WL-eSiP) for Mobile Applications. In IEEE 60th Electronic Components and Technology Conference, 309–315. Las Vegas, NV, 1–4 June 2010. Kang, I., G. Jung, B. Jeon, J. Yoo, and S. Jeong. 2010. Wafer Level Embedded System in Package (WL-eSiP) for Mobile Applications. In IEEE 60th Electronic Components and Technology Conference, 309–315. Las Vegas, NV, 1–4 June 2010.
67.
Zurück zum Zitat Dorsey, P. 2010. Xilinx Stacked Silicon Interconnect Technology Delivers Breakthrough FPGA Capacity, Bandwidth, and Power Efficiency. San Jose, CA: Xilinx Inc. White Paper Virtex-7 FPGAs, WP380 (v1). Dorsey, P. 2010. Xilinx Stacked Silicon Interconnect Technology Delivers Breakthrough FPGA Capacity, Bandwidth, and Power Efficiency. San Jose, CA: Xilinx Inc. White Paper Virtex-7 FPGAs, WP380 (v1).
68.
Zurück zum Zitat Lau, J.H., C. Lee, C. Zhan, S. Wu, Y. Chao, M. Dai, R. Tain, H. Chien, C. Chien, R. Cheng, Y. Huang, Y. Lee, Z. Hsiao, W. Tsai, P. Chang, H. Fu, Y. Cheng, L. Liao, W. Lo, and M. Kao. 2014. Low-Cost TSH (Through-Silicon Hole) Interposers for 3D IC Integration. In IEEE 64th Electronic Components and Technology Conference, 290–296. Orlando, FL, 27–30 May 2014. Lau, J.H., C. Lee, C. Zhan, S. Wu, Y. Chao, M. Dai, R. Tain, H. Chien, C. Chien, R. Cheng, Y. Huang, Y. Lee, Z. Hsiao, W. Tsai, P. Chang, H. Fu, Y. Cheng, L. Liao, W. Lo, and M. Kao. 2014. Low-Cost TSH (Through-Silicon Hole) Interposers for 3D IC Integration. In IEEE 64th Electronic Components and Technology Conference, 290–296. Orlando, FL, 27–30 May 2014.
69.
Zurück zum Zitat Akasaka, Y. 1986. Three-Dimensional IC Trends. Proceedings of the IEEE 74 (12): 1703–1714.CrossRef Akasaka, Y. 1986. Three-Dimensional IC Trends. Proceedings of the IEEE 74 (12): 1703–1714.CrossRef
70.
Zurück zum Zitat Akasaka, Y., and T. Nishimura. 1986. Concept and Basic Technologies for 3-D IC Structure. In IEEE International Electron Devices Meetings, 488–491. Los Angeles, CA, 7–10 Dec 1986. Akasaka, Y., and T. Nishimura. 1986. Concept and Basic Technologies for 3-D IC Structure. In IEEE International Electron Devices Meetings, 488–491. Los Angeles, CA, 7–10 Dec 1986.
71.
Zurück zum Zitat Dang, B., P. Andry, C. Tsang, J. Maria, R. Polastre, R. Trzcinski, A. Prabhakar, and J. Knickerbocker. 2010. CMOS Compatible Thin Wafer Processing Using Temporary Mechanical Wafer, Adhesive and Laser Release of Thin Chips/Wafers for 3D Integration. In IEEE 60th Electronic Components and Technology Conference, 1393–1398. Las Vegas, NV, 1–4 June 2010. Dang, B., P. Andry, C. Tsang, J. Maria, R. Polastre, R. Trzcinski, A. Prabhakar, and J. Knickerbocker. 2010. CMOS Compatible Thin Wafer Processing Using Temporary Mechanical Wafer, Adhesive and Laser Release of Thin Chips/Wafers for 3D Integration. In IEEE 60th Electronic Components and Technology Conference, 1393–1398. Las Vegas, NV, 1–4 June 2010.
72.
Zurück zum Zitat Bieck, F., S. Spiller, F. Molina, M. Töpper, C. Lopper, I. Kuna, T. Seng, and T. Tabuchi. 2010. Carrierless Design for Handling and Processing of Ultrathin Wafers. In IEEE 60th Electronic Components and Technology Conference, 316–322. Las Vegas, NV, 1–4 June 2010. Bieck, F., S. Spiller, F. Molina, M. Töpper, C. Lopper, I. Kuna, T. Seng, and T. Tabuchi. 2010. Carrierless Design for Handling and Processing of Ultrathin Wafers. In IEEE 60th Electronic Components and Technology Conference, 316–322. Las Vegas, NV, 1–4 June 2010.
73.
Zurück zum Zitat Itabashi, T., and M. Zussman. 2010. High Temperature Resistant Bonding Solutions Enabling Thin Wafer Processing (Characterization of Polyimide Base Temporary Bonding Adhesive for Thinned Wafer Handling). In IEEE 60th Electronic Components and Technology Conference, 1877–1880. Las Vegas, NV, 1–4 June 2010. Itabashi, T., and M. Zussman. 2010. High Temperature Resistant Bonding Solutions Enabling Thin Wafer Processing (Characterization of Polyimide Base Temporary Bonding Adhesive for Thinned Wafer Handling). In IEEE 60th Electronic Components and Technology Conference, 1877–1880. Las Vegas, NV, 1–4 June 2010.
74.
Zurück zum Zitat Zoschke, K., M. Wegner, M. Wilke, N. Jürgensen, C. Lopper, I. Kuna, V. Glaw, J. Röder, O. Wünsch, M.J. Wolf, O. Ehrmann, and H. Reichl. 2010. Evaluation of Thin Wafer Processing Using a Temporary Wafer Handling System as Key Technology for 3D System Integration. In IEEE 60th Electronic Components and Technology Conference, 1385–1392. Las Vegas, NV, 1–4 June 2010. Zoschke, K., M. Wegner, M. Wilke, N. Jürgensen, C. Lopper, I. Kuna, V. Glaw, J. Röder, O. Wünsch, M.J. Wolf, O. Ehrmann, and H. Reichl. 2010. Evaluation of Thin Wafer Processing Using a Temporary Wafer Handling System as Key Technology for 3D System Integration. In IEEE 60th Electronic Components and Technology Conference, 1385–1392. Las Vegas, NV, 1–4 June 2010.
75.
Zurück zum Zitat Charbonnier, J., R. Hida, D. Henry, S. Cheramy, P. Chausse, M. Neyret, O. Hajji, G. Garnier, C. Brunet-Manquat, P. Haumesser, L. Vandroux, R. Anciant, N. Sillon, A. Farcy, M. Rousseau, J. Cuzzocrea, G. Druais, and E. Saugier. 2010. Development and Characterisation of a 3D Technology Including TSV and Cu Pillars for High Frequency Applications. In IEEE 60th Electronic Components and Technology Conference, 1077–1082. Las Vegas, NV, 1–4 June 2010. Charbonnier, J., R. Hida, D. Henry, S. Cheramy, P. Chausse, M. Neyret, O. Hajji, G. Garnier, C. Brunet-Manquat, P. Haumesser, L. Vandroux, R. Anciant, N. Sillon, A. Farcy, M. Rousseau, J. Cuzzocrea, G. Druais, and E. Saugier. 2010. Development and Characterisation of a 3D Technology Including TSV and Cu Pillars for High Frequency Applications. In IEEE 60th Electronic Components and Technology Conference, 1077–1082. Las Vegas, NV, 1–4 June 2010.
76.
Zurück zum Zitat Sun, Y., X. Li, J. Gandhi, S. Luo, and T. Jiang. (2010). Adhesion Improvement for Polymer Dielectric to Electrolytic-Plated Copper. In IEEE 60th Electronic Components and Technology Conference, 1106–1111. Las Vegas, NV, 1–4 June 2010. Sun, Y., X. Li, J. Gandhi, S. Luo, and T. Jiang. (2010). Adhesion Improvement for Polymer Dielectric to Electrolytic-Plated Copper. In IEEE 60th Electronic Components and Technology Conference, 1106–1111. Las Vegas, NV, 1–4 June 2010.
77.
Zurück zum Zitat Kawano, M., N. Takahashi, M. Komuro, and S. Matsui. 2010. Low-Cost TSV Process Using Electroless Ni Plating for 3D Stacked DRAM. In IEEE 60th Electronic Components and Technology Conference, 1094–1099. Las Vegas, NV, 1–4 June 2010. Kawano, M., N. Takahashi, M. Komuro, and S. Matsui. 2010. Low-Cost TSV Process Using Electroless Ni Plating for 3D Stacked DRAM. In IEEE 60th Electronic Components and Technology Conference, 1094–1099. Las Vegas, NV, 1–4 June 2010.
78.
Zurück zum Zitat Malta, D., C. Gregory, D. Temple, T. Knutson, C. Wang, T. Richardson, Y. Zhang, and R. Rhoades. 2010. Integrated Process for Defect-Free Copper Plating and Chemical-Mechanical Polishing of Through-Silicon Vias for 3D Interconnects. In IEEE 60th Electronic Components and Technology Conference, 1769–1775. Las Vegas, NV, 1–4 June 2010. Malta, D., C. Gregory, D. Temple, T. Knutson, C. Wang, T. Richardson, Y. Zhang, and R. Rhoades. 2010. Integrated Process for Defect-Free Copper Plating and Chemical-Mechanical Polishing of Through-Silicon Vias for 3D Interconnects. In IEEE 60th Electronic Components and Technology Conference, 1769–1775. Las Vegas, NV, 1–4 June 2010.
79.
Zurück zum Zitat Campbell, D.V. 2010. Yield Modeling of 3D Integrated Wafer Scale Assemblies. In IEEE Proceedings of ECTC, 60th Electronic Components and Technology Conference, 1935–1938. Las Vegas, NV, 1–4 June 2010. Campbell, D.V. 2010. Yield Modeling of 3D Integrated Wafer Scale Assemblies. In IEEE Proceedings of ECTC, 60th Electronic Components and Technology Conference, 1935–1938. Las Vegas, NV, 1–4 June 2010.
80.
Zurück zum Zitat Archard, D., K. Giles, A. Price, S. Burgess, and K. Buchanan. 2010. Low Temperature PECVD of Dielectric Films for TSV Applications. In IEEE 60th Electronic Components and Technology Conference, 764–768. Las Vegas, NV, 1–4 June 2010. Archard, D., K. Giles, A. Price, S. Burgess, and K. Buchanan. 2010. Low Temperature PECVD of Dielectric Films for TSV Applications. In IEEE 60th Electronic Components and Technology Conference, 764–768. Las Vegas, NV, 1–4 June 2010.
81.
Zurück zum Zitat Shigetou, A., and T. Suga. 2010. Modified Diffusion Bonding for Both Cu and SiO2 at 150 °C in Ambient Air. In IEEE 60th Electronic Components and Technology Conference, 872–877. Las Vegas, NV, 1–4 June 2010. Shigetou, A., and T. Suga. 2010. Modified Diffusion Bonding for Both Cu and SiO2 at 150 °C in Ambient Air. In IEEE 60th Electronic Components and Technology Conference, 872–877. Las Vegas, NV, 1–4 June 2010.
82.
Zurück zum Zitat Amagai, M., and Y. Suzuki. 2010. TSV Stress Testing and Modeling. In IEEE 60th Electronic Components and Technology Conference, 1273–1280. Las Vegas, NV, 1–4 June 2010. Amagai, M., and Y. Suzuki. 2010. TSV Stress Testing and Modeling. In IEEE 60th Electronic Components and Technology Conference, 1273–1280. Las Vegas, NV, 1–4 June 2010.
83.
Zurück zum Zitat Lu, K., S. Ryu, Q. Zhao, X. Zhang, J. Im, R. Huang, and P. Ho. 2010. Thermal Stress Induced Delamination of Through Silicon Vias in 3-D Interconnects. In IEEE 60th Electronic Components and Technology Conference, 40–45. Las Vegas, NV, 1–4 June 2010. Lu, K., S. Ryu, Q. Zhao, X. Zhang, J. Im, R. Huang, and P. Ho. 2010. Thermal Stress Induced Delamination of Through Silicon Vias in 3-D Interconnects. In IEEE 60th Electronic Components and Technology Conference, 40–45. Las Vegas, NV, 1–4 June 2010.
84.
Zurück zum Zitat Miyazaki, C., H. Shimamoto, T. Uematsu, Y. Abe, K. Kitaichi, T. Morifuji, and S. Yasunaga. 2010. Development of High Accuracy Wafer Thinning and Pickup Technology for Thin Wafer (Die). In IEEE CPMT Symposium, 139–142. Tokyo, Japan, 24–26 Aug 2010. Miyazaki, C., H. Shimamoto, T. Uematsu, Y. Abe, K. Kitaichi, T. Morifuji, and S. Yasunaga. 2010. Development of High Accuracy Wafer Thinning and Pickup Technology for Thin Wafer (Die). In IEEE CPMT Symposium, 139–142. Tokyo, Japan, 24–26 Aug 2010.
85.
Zurück zum Zitat Cho, J., K. Yoon, J. Pak, J. Kim, J. Lee, H. Lee, K. Park, and J. Kim. 2010. Guard Ring Effect for Through Silicon Via (TSV) Noise Coupling Reduction. In IEEE CPMT Symposium, 151–154. Tokyo, Japan, 24–26 Aug 2010. Cho, J., K. Yoon, J. Pak, J. Kim, J. Lee, H. Lee, K. Park, and J. Kim. 2010. Guard Ring Effect for Through Silicon Via (TSV) Noise Coupling Reduction. In IEEE CPMT Symposium, 151–154. Tokyo, Japan, 24–26 Aug 2010.
86.
Zurück zum Zitat Nonake, T., K. Fujimaru, A. Shimada, N. Asahi, Y. Tatsuta, H. Niwa, and Y. Tachibana. 2010. Wafer and/or Chip Bonding Adhesives for 3D Package. In IEEE CPMT Symposium, 169–172. Tokyo, Japan, 24–26 Aug 2010. Nonake, T., K. Fujimaru, A. Shimada, N. Asahi, Y. Tatsuta, H. Niwa, and Y. Tachibana. 2010. Wafer and/or Chip Bonding Adhesives for 3D Package. In IEEE CPMT Symposium, 169–172. Tokyo, Japan, 24–26 Aug 2010.
87.
Zurück zum Zitat Gupta, A., S. Kannan, B. Kim, F. Mohammed, and B. Ahn. 2010. Development of Novel Carbon Nanotube TSV Technology. In IEEE 60th Electronic Components and Technology Conference, 1699–1702. Las Vegas, NV, 1–4 June 2010. Gupta, A., S. Kannan, B. Kim, F. Mohammed, and B. Ahn. 2010. Development of Novel Carbon Nanotube TSV Technology. In IEEE 60th Electronic Components and Technology Conference, 1699–1702. Las Vegas, NV, 1–4 June 2010.
88.
Zurück zum Zitat Kannan, S., A. Gupta, B. Kim, F. Mohammed, and B. Ahn. 2010. Analysis of Carbon Nanotube Based Through Silicon Vias. 2010. In IEEE 60th Electronic Components and Technology Conference, 51–57. Las Vegas, NV, 1–4 June 2010. Kannan, S., A. Gupta, B. Kim, F. Mohammed, and B. Ahn. 2010. Analysis of Carbon Nanotube Based Through Silicon Vias. 2010. In IEEE 60th Electronic Components and Technology Conference, 51–57. Las Vegas, NV, 1–4 June 2010.
89.
Zurück zum Zitat Lau, J.H. 2010. TSV Manufacturing Yield and Hidden Costs for 3D IC Integration. In IEEE 60th Electronic Components and Technology Conference, 1031–1041. Las Vegas, NV, 1–4 June 2010. Lau, J.H. 2010. TSV Manufacturing Yield and Hidden Costs for 3D IC Integration. In IEEE 60th Electronic Components and Technology Conference, 1031–1041. Las Vegas, NV, 1–4 June 2010.
90.
Zurück zum Zitat Chen, K., S. Lee, P. Andry, C. Tsang, A. Topop, Y. Lin, Y.J. Lu, A. Young, M. Ieong, and W. Haensch. 2006. Structure, Design and Process Control for Cu Bonded Interconnects in 3D Integrated Circuits. In IEEE International Electron Devices Meeting, 367–370. San Francisco, CA, 11–13 Dec 2006. Chen, K., S. Lee, P. Andry, C. Tsang, A. Topop, Y. Lin, Y.J. Lu, A. Young, M. Ieong, and W. Haensch. 2006. Structure, Design and Process Control for Cu Bonded Interconnects in 3D Integrated Circuits. In IEEE International Electron Devices Meeting, 367–370. San Francisco, CA, 11–13 Dec 2006.
91.
Zurück zum Zitat Liu, F., R. Yu, A. Young, J. Doyle, X. Wang, L. Shi, K. Chen, X. Li, D. Dipaola, D. Brown, C. Ryan, J. Hagan, K. Wong, M. Lu, X. Gu, N. Klymko, E. Perfecto, A. Merryman, K. Kelly, S. Purushothaman, S. Koester, R. Wisnieff, and W. Haensch. 2008. A 300-mm Wafer-Level Three-Dimensional Integration Scheme Using Tungsten Through-Silicon Via and Hybrid Cu-Adhesive Bonding. In IEEE International Electron Devices Meeting, San Francisco, CA, 15–17 Dec 2008. Liu, F., R. Yu, A. Young, J. Doyle, X. Wang, L. Shi, K. Chen, X. Li, D. Dipaola, D. Brown, C. Ryan, J. Hagan, K. Wong, M. Lu, X. Gu, N. Klymko, E. Perfecto, A. Merryman, K. Kelly, S. Purushothaman, S. Koester, R. Wisnieff, and W. Haensch. 2008. A 300-mm Wafer-Level Three-Dimensional Integration Scheme Using Tungsten Through-Silicon Via and Hybrid Cu-Adhesive Bonding. In IEEE International Electron Devices Meeting, San Francisco, CA, 15–17 Dec 2008.
92.
Zurück zum Zitat Yu, R., F. Liu, R. Polastre, K. Chen, X. Liu, L. Shi, E. Perfecto, N. Klymko, M. Chace, T. Shaw, D. Dimilia, E. Kinser, A. Young, S. Purushothaman, S. Koester, and W. Haensch. 2009. Reliability of a 300-mm-Compatible 3DI Technology Based on Hybrid Cu-Adhesive Wafer Bonding. In Symposium on VLSI Technology, 170–171. Honolulu, HI, 16–18 June 2009. Yu, R., F. Liu, R. Polastre, K. Chen, X. Liu, L. Shi, E. Perfecto, N. Klymko, M. Chace, T. Shaw, D. Dimilia, E. Kinser, A. Young, S. Purushothaman, S. Koester, and W. Haensch. 2009. Reliability of a 300-mm-Compatible 3DI Technology Based on Hybrid Cu-Adhesive Wafer Bonding. In Symposium on VLSI Technology, 170–171. Honolulu, HI, 16–18 June 2009.
93.
Zurück zum Zitat Shigetou, A., T. Itoh, K. Sawada, and T. Suga. 2008. Bumpless Interconnect of 6-lm Pitch Cu Electrodes at Room Temperature. In IEEE 58th Electronic Components and Technology Conference, 1405–1409. Lake Buena Vista, FL, 27–30 May 2008. Shigetou, A., T. Itoh, K. Sawada, and T. Suga. 2008. Bumpless Interconnect of 6-lm Pitch Cu Electrodes at Room Temperature. In IEEE 58th Electronic Components and Technology Conference, 1405–1409. Lake Buena Vista, FL, 27–30 May 2008.
94.
Zurück zum Zitat Tsukamoto, K., E. Higurashi, and T. Suga. 2010. Evaluation of Surface Microroughness for Surface Activated Bonding. In Proceedings of IEEE CPMT Symposium Japan, 147–150. Tokyo, Japan, 24–26 Aug 2010. Tsukamoto, K., E. Higurashi, and T. Suga. 2010. Evaluation of Surface Microroughness for Surface Activated Bonding. In Proceedings of IEEE CPMT Symposium Japan, 147–150. Tokyo, Japan, 24–26 Aug 2010.
95.
Zurück zum Zitat Kondou, R., C. Wang, and T. Suga. 2010. Room-Temperature Si-Si and Si-SiN Wafer Bonding. In IEEE CPMT Symposium, 161–164. Tokyo, Japan, 24–26 Aug 2010. Kondou, R., C. Wang, and T. Suga. 2010. Room-Temperature Si-Si and Si-SiN Wafer Bonding. In IEEE CPMT Symposium, 161–164. Tokyo, Japan, 24–26 Aug 2010.
96.
Zurück zum Zitat Shigetou, A., T. Itoh, M. Matsuo, N. Hayasaka, K. Okumura, and T. Suga. 2006. Bumpless Interconnect Through Ultrafine Cu Electrodes by Means of Surface-Activated Bonding (SAB) Method. IEEE Transactions on Advanced Packaging 29 (2): 218–226.CrossRef Shigetou, A., T. Itoh, M. Matsuo, N. Hayasaka, K. Okumura, and T. Suga. 2006. Bumpless Interconnect Through Ultrafine Cu Electrodes by Means of Surface-Activated Bonding (SAB) Method. IEEE Transactions on Advanced Packaging 29 (2): 218–226.CrossRef
97.
Zurück zum Zitat Wang, C., and T. Suga. 2009. A Novel Moire Fringe Assisted Method for Nanoprecision Alignment in Wafer Bonding. In IEEE 59th Electronic Components and Technology Conference, 872–878. San Diego, CA, 25–29 May 2009. Wang, C., and T. Suga. 2009. A Novel Moire Fringe Assisted Method for Nanoprecision Alignment in Wafer Bonding. In IEEE 59th Electronic Components and Technology Conference, 872–878. San Diego, CA, 25–29 May 2009.
98.
Zurück zum Zitat Wang, C., and T. Suga. 2009. Moire Method for Nanoprecision Wafer-to-Wafer Alignment: Theory, Simulation and Application. In IEEE International Conference on Electronic Packaging Technology and High-Density Packaging, 219–224. Beijing, China, 10–13 Aug 2009. Wang, C., and T. Suga. 2009. Moire Method for Nanoprecision Wafer-to-Wafer Alignment: Theory, Simulation and Application. In IEEE International Conference on Electronic Packaging Technology and High-Density Packaging, 219–224. Beijing, China, 10–13 Aug 2009.
99.
Zurück zum Zitat Higurashi, E., D. Chino, T. Suga, and R. Sawada. 2009. Au-Au Surface-Activated Bonding and Its Application to Optical Microsensors with 3-D Structure. IEEE Journal of Selected Topics in Quantum Electronics 15 (5): 1500–1505.CrossRef Higurashi, E., D. Chino, T. Suga, and R. Sawada. 2009. Au-Au Surface-Activated Bonding and Its Application to Optical Microsensors with 3-D Structure. IEEE Journal of Selected Topics in Quantum Electronics 15 (5): 1500–1505.CrossRef
100.
Zurück zum Zitat Burns, J., B. Aull, C. Keast, C. Chen, C. Chen, C. Keast, J. Knecht, V. Suntharalingam, K. Warner, P. Wyatt, and D. Yost. 2006. A Wafer-Scale 3-D Circuit Integration Technology. IEEE Transactions on Electron Devices 53 (10): 2507–2516.CrossRef Burns, J., B. Aull, C. Keast, C. Chen, C. Chen, C. Keast, J. Knecht, V. Suntharalingam, K. Warner, P. Wyatt, and D. Yost. 2006. A Wafer-Scale 3-D Circuit Integration Technology. IEEE Transactions on Electron Devices 53 (10): 2507–2516.CrossRef
101.
Zurück zum Zitat Chen, C., K. Warner, D. Yost, J. Knecht, V. Suntharalingam, C. Chen, J. Burns, and C. Keast. 2007. Scaling Three-Dimensional SOI Integrated-Circuit Technology. In IEEE International SOI Conference, 87–88. Indian Wells, CA, 1–4 Oct 2007. Chen, C., K. Warner, D. Yost, J. Knecht, V. Suntharalingam, C. Chen, J. Burns, and C. Keast. 2007. Scaling Three-Dimensional SOI Integrated-Circuit Technology. In IEEE International SOI Conference, 87–88. Indian Wells, CA, 1–4 Oct 2007.
102.
Zurück zum Zitat Chen, C., C. Chen, D. Yost, J. Knecht, P. Wyatt, J. Burns, K. Warner, P. Gouker, P. Healey, B. Wheeler, and C. Keast. 2008. Three-Dimensional Integration of Silicon-on-Insulator RF Amplifier. Electronics Letters 44 (12): 746–747.CrossRef Chen, C., C. Chen, D. Yost, J. Knecht, P. Wyatt, J. Burns, K. Warner, P. Gouker, P. Healey, B. Wheeler, and C. Keast. 2008. Three-Dimensional Integration of Silicon-on-Insulator RF Amplifier. Electronics Letters 44 (12): 746–747.CrossRef
103.
Zurück zum Zitat Chen, C., C. Chen, D. Yost, J. Knecht, P. Wyatt, J. Burns, K. Warner, P. Gouker, P. Healey, B. Wheeler, and C. Keast. 2009. Wafer-Scale 3D Integration of Silicon-on-Insulator RF Amplifiers. In IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, San Diego, CA, 19–21 Jan 2009. Chen, C., C. Chen, D. Yost, J. Knecht, P. Wyatt, J. Burns, K. Warner, P. Gouker, P. Healey, B. Wheeler, and C. Keast. 2009. Wafer-Scale 3D Integration of Silicon-on-Insulator RF Amplifiers. In IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, San Diego, CA, 19–21 Jan 2009.
104.
Zurück zum Zitat Chen, C., C. Chen, P. Wyatt, P. Gouker, J. Burns, J. Knecht, D. Yost, P. Healey, and C. Keast. 2008. Effects of Through-BOX Vias on SOI MOSFETs. In IEEE International Symposium on VLSI Technology, Systems and Applications, 95–96. Hsinchu, Taiwan, 21–23 Apr 2008. Chen, C., C. Chen, P. Wyatt, P. Gouker, J. Burns, J. Knecht, D. Yost, P. Healey, and C. Keast. 2008. Effects of Through-BOX Vias on SOI MOSFETs. In IEEE International Symposium on VLSI Technology, Systems and Applications, 95–96. Hsinchu, Taiwan, 21–23 Apr 2008.
105.
Zurück zum Zitat Chen, C., C. Chen, J. Burns, D. Yost, K. Warner, J. Knecht, D. Shibles, and C. Keast. 2007. Thermal Effects of Three-Dimensional Integrated Circuit Stacks. In IEEE International SOI Conference, 91–92. Indian Wells, CA, 1–4 Oct 2007. Chen, C., C. Chen, J. Burns, D. Yost, K. Warner, J. Knecht, D. Shibles, and C. Keast. 2007. Thermal Effects of Three-Dimensional Integrated Circuit Stacks. In IEEE International SOI Conference, 91–92. Indian Wells, CA, 1–4 Oct 2007.
106.
Zurück zum Zitat Aull, B., J. Burns, C. Chen, B. Felton, H. Hanson, C. Keast, J. Knecht, A. Loomis, M. Renzi, A. Soares, V. Suntharalingam, K. Warner, D. Wolfson, D. Yost, and D. Young. 2006. Laser Radar Imager Based on 3D Integration of Geiger-Mode Avalanche Photodiodes with Two SOI Timing Circuit Layers. IEEE International Solid-State Circuits Conference, 1179–1188. San Francisco, CA, 6–9 Feb 2006. Aull, B., J. Burns, C. Chen, B. Felton, H. Hanson, C. Keast, J. Knecht, A. Loomis, M. Renzi, A. Soares, V. Suntharalingam, K. Warner, D. Wolfson, D. Yost, and D. Young. 2006. Laser Radar Imager Based on 3D Integration of Geiger-Mode Avalanche Photodiodes with Two SOI Timing Circuit Layers. IEEE International Solid-State Circuits Conference, 1179–1188. San Francisco, CA, 6–9 Feb 2006.
107.
Zurück zum Zitat Chatterjee, R., M. Fayolle, P. Leduc, S. Pozder, B. Jones, E. Acosta, B. Charlet, T. Enot, M. Heitzmann, M. Zussy, A. Roman, O. Louveau, S. Maitreqean, D. Louis, N. Kernevez, N. Sillon, G. Passemard, V. Pol, V. Mathew, S. Garcia, T. Sparks, and Z. Huang. 2007. Three-Dimensional Chip Stacking Using a Wafer-to-Wafer Integration. In IEEE International Interconnect Technology Conference, 81–83. Burlingame, CA, 4–6 June 2007. Chatterjee, R., M. Fayolle, P. Leduc, S. Pozder, B. Jones, E. Acosta, B. Charlet, T. Enot, M. Heitzmann, M. Zussy, A. Roman, O. Louveau, S. Maitreqean, D. Louis, N. Kernevez, N. Sillon, G. Passemard, V. Pol, V. Mathew, S. Garcia, T. Sparks, and Z. Huang. 2007. Three-Dimensional Chip Stacking Using a Wafer-to-Wafer Integration. In IEEE International Interconnect Technology Conference, 81–83. Burlingame, CA, 4–6 June 2007.
108.
Zurück zum Zitat Ledus, P., F. Crecy, M. Fayolle, M. Fayolle, B. Charlet, T. Enot, M. Zussy, B. Jones, J. Barbe, N. Kernevez, N. Sillon, S. Maitreqean, D. Louis, and G. Passemard. 2007. Challenges for 3D IC Integration: Bonding Quality and Thermal Management. In IEEE International Interconnect Technology Conference, 210–212. Burlingame, CA, 4–6 June 2007. Ledus, P., F. Crecy, M. Fayolle, M. Fayolle, B. Charlet, T. Enot, M. Zussy, B. Jones, J. Barbe, N. Kernevez, N. Sillon, S. Maitreqean, D. Louis, and G. Passemard. 2007. Challenges for 3D IC Integration: Bonding Quality and Thermal Management. In IEEE International Interconnect Technology Conference, 210–212. Burlingame, CA, 4–6 June 2007.
109.
Zurück zum Zitat Poupon, G., N. Sillon, D. Henry, C. Gillot, A. Mathewson, L. Cioccio, B. Charlet, P. Leduc, M. Vinet, and P. Batude. 2009. System on Wafer: A New Silicon Concept in Sip. Proceedings of the IEEE 97 (1): 60–69.CrossRef Poupon, G., N. Sillon, D. Henry, C. Gillot, A. Mathewson, L. Cioccio, B. Charlet, P. Leduc, M. Vinet, and P. Batude. 2009. System on Wafer: A New Silicon Concept in Sip. Proceedings of the IEEE 97 (1): 60–69.CrossRef
110.
Zurück zum Zitat Fujimoto, K., N. Maeda, H. Kitada, Y. Kim, A. Kawai, K. Arai, T. Nakamura, K. Suzuki, and T. Ohba. 2010. Development of Multi-Stack Process on Wafer-on-Wafer (WoW). In IEEE CPMT Symposium, 157–160. Tokyo, Japan, 24–26 Aug 2010. Fujimoto, K., N. Maeda, H. Kitada, Y. Kim, A. Kawai, K. Arai, T. Nakamura, K. Suzuki, and T. Ohba. 2010. Development of Multi-Stack Process on Wafer-on-Wafer (WoW). In IEEE CPMT Symposium, 157–160. Tokyo, Japan, 24–26 Aug 2010.
111.
Zurück zum Zitat Lee, C., A. Yu, L. Yan, H. Wang, J. Han, Q. Zhang, and J.H. Lau. 2009. Characterization of Intermediate In/Ag Layers of Low Temperature Fluxless Solder Based Wafer Bonding for MEMS Packaging. Journal of Sensors and Actuators A 154 (1): 85–91.CrossRef Lee, C., A. Yu, L. Yan, H. Wang, J. Han, Q. Zhang, and J.H. Lau. 2009. Characterization of Intermediate In/Ag Layers of Low Temperature Fluxless Solder Based Wafer Bonding for MEMS Packaging. Journal of Sensors and Actuators A 154 (1): 85–91.CrossRef
112.
Zurück zum Zitat Yu, D.Q., C. Lee, L. Yan, M. Thew, and J.H. Lau. 2009. Characterization and Reliability Study of Low Temperature Hermetic Wafer Level Bonding Using In/Sn Interlayer and Cu/Ni/Au Metallization. Journal of Alloys and Compounds 485 (1–2): 444–450.CrossRef Yu, D.Q., C. Lee, L. Yan, M. Thew, and J.H. Lau. 2009. Characterization and Reliability Study of Low Temperature Hermetic Wafer Level Bonding Using In/Sn Interlayer and Cu/Ni/Au Metallization. Journal of Alloys and Compounds 485 (1–2): 444–450.CrossRef
113.
Zurück zum Zitat Yu, D.Q., C. Lee, L.L. Yan, W.K. Choi, A. Yu, and J.H. Lau. 2009. The Role of Ni Buffer Layer on High Yield Low Temperature Hermetic Wafer Bonding Using In/Sn/Cu Metallization. Applied Physics Letters 94 (3): 034105.CrossRef Yu, D.Q., C. Lee, L.L. Yan, W.K. Choi, A. Yu, and J.H. Lau. 2009. The Role of Ni Buffer Layer on High Yield Low Temperature Hermetic Wafer Bonding Using In/Sn/Cu Metallization. Applied Physics Letters 94 (3): 034105.CrossRef
114.
Zurück zum Zitat Reed, J., M. Lueck, C. Gregory, A. Huffman, J. Lannon Jr., and D. Temple. 2010. High-Density Interconnect at 10 lm Pitch with Mechanically Keyed Cu/Sn-Cu and Cu-Cu Bonding for 3-D Integration. In IEEE 60th Electronic Components and Technology Conference, 846–852. Las Vegas, NV, 1–4 June 2010. Reed, J., M. Lueck, C. Gregory, A. Huffman, J. Lannon Jr., and D. Temple. 2010. High-Density Interconnect at 10 lm Pitch with Mechanically Keyed Cu/Sn-Cu and Cu-Cu Bonding for 3-D Integration. In IEEE 60th Electronic Components and Technology Conference, 846–852. Las Vegas, NV, 1–4 June 2010.
115.
Zurück zum Zitat Okoro, C., R. Agarwal, P. Limaye, B. Vandevelde, D. Vandepitte, and E. Beyne. 2010. Insertion Bonding: A Novel Cu-Cu Bonding Approach for 3D Integration. In IEEE 60th Electronic Components and Technology Conference, 1370–1375. Las Vegas, NV, 1–4 June 2010. Okoro, C., R. Agarwal, P. Limaye, B. Vandevelde, D. Vandepitte, and E. Beyne. 2010. Insertion Bonding: A Novel Cu-Cu Bonding Approach for 3D Integration. In IEEE 60th Electronic Components and Technology Conference, 1370–1375. Las Vegas, NV, 1–4 June 2010.
116.
Zurück zum Zitat Huyghebaert, C., J. Olmen, O. Chukwudi, J. Coenen, A. Jourdain, M. Cauwenberghe, R. Agarwahl, R.A. Phommahaxay, M. Stucchi, and P. Soussan, Enabling 10 lm Pitch Hybrid Cu-Cu IC Stacking with Through Silicon Vias. In IEEE 60th Electronic Components and Technology Conference, 1083–1087. Las Vegas, NV, 1–4 June 2010. Huyghebaert, C., J. Olmen, O. Chukwudi, J. Coenen, A. Jourdain, M. Cauwenberghe, R. Agarwahl, R.A. Phommahaxay, M. Stucchi, and P. Soussan, Enabling 10 lm Pitch Hybrid Cu-Cu IC Stacking with Through Silicon Vias. In IEEE 60th Electronic Components and Technology Conference, 1083–1087. Las Vegas, NV, 1–4 June 2010.
117.
Zurück zum Zitat Di Cioccio, L., P. Gueguen, E. Grouiller, L. Vandroux, V. Delaye, M. Rivoire, J. Lugand, and L. Clavelier. 2010. Vertical Metal Interconnect Thanks to Tungsten Direct Bonding. In IEEE 60th Electronic Components and Technology Conference, 1359–1363. Las Vegas, NV, 1–4 June 2010. Di Cioccio, L., P. Gueguen, E. Grouiller, L. Vandroux, V. Delaye, M. Rivoire, J. Lugand, and L. Clavelier. 2010. Vertical Metal Interconnect Thanks to Tungsten Direct Bonding. In IEEE 60th Electronic Components and Technology Conference, 1359–1363. Las Vegas, NV, 1–4 June 2010.
118.
Zurück zum Zitat Gueguen, P., L. Di Cioccio, P. Morfouli, M. Zussy, J.Dechamp, L. Bally, and L. Clavelier. 2010. Copper Direct Bonding: An Innovative 3D Interconnect. In IEEE 60th Electronic Components and Technology Conference, 878–883. Las Vegas, NV, 1–4 June 2010. Gueguen, P., L. Di Cioccio, P. Morfouli, M. Zussy, J.Dechamp, L. Bally, and L. Clavelier. 2010. Copper Direct Bonding: An Innovative 3D Interconnect. In IEEE 60th Electronic Components and Technology Conference, 878–883. Las Vegas, NV, 1–4 June 2010.
119.
Zurück zum Zitat Taibi, R., L. Di Cioccio, C. Chappaz, L. Chapelon, P. Gueguen, J. Dechamp, R. Fortunier, and L. Clavelier. 2010. Full Characterization of Cu/Cu Direct Bonding for 3D Integration. In IEEE 60th Electronic Components and Technology Conference, 219–225. Las Vegas, NV, 1–4 June 2010. Taibi, R., L. Di Cioccio, C. Chappaz, L. Chapelon, P. Gueguen, J. Dechamp, R. Fortunier, and L. Clavelier. 2010. Full Characterization of Cu/Cu Direct Bonding for 3D Integration. In IEEE 60th Electronic Components and Technology Conference, 219–225. Las Vegas, NV, 1–4 June 2010.
120.
Zurück zum Zitat Lim, D., J. Wei, C. Ng, and C. Tan. 2010. Low Temperature Bump-less Cu-Cu Bonding Enhancement with Self Assembled Monolayer (SAM) Passivation for 3-D Integration. In IEEE 60th Electronic Components and Technology Conference, 1364–1369. Las Vegas, NV, 1–4 June 2010. Lim, D., J. Wei, C. Ng, and C. Tan. 2010. Low Temperature Bump-less Cu-Cu Bonding Enhancement with Self Assembled Monolayer (SAM) Passivation for 3-D Integration. In IEEE 60th Electronic Components and Technology Conference, 1364–1369. Las Vegas, NV, 1–4 June 2010.
121.
Zurück zum Zitat Yu, D.Q., Y. Li, C. Lee, W. Choi, S. Thew, C. Foo, and J.H. Lau. 2009. Wafer-Level Hermetic Bonding Using Sn/In and Cu/Ti/Au Metallization. IEEE Transactions on Components, Packaging and Manufacturing Technology 32 (4): 926–934.CrossRef Yu, D.Q., Y. Li, C. Lee, W. Choi, S. Thew, C. Foo, and J.H. Lau. 2009. Wafer-Level Hermetic Bonding Using Sn/In and Cu/Ti/Au Metallization. IEEE Transactions on Components, Packaging and Manufacturing Technology 32 (4): 926–934.CrossRef
122.
Zurück zum Zitat Campbell, D. 2010. Process Characterization Vehicles for 3D Integration. In IEEE 60th Electronic Components and Technology Conference, 1112–1116. Las Vegas, NV, 1–4 June 2010. Campbell, D. 2010. Process Characterization Vehicles for 3D Integration. In IEEE 60th Electronic Components and Technology Conference, 1112–1116. Las Vegas, NV, 1–4 June 2010.
123.
Zurück zum Zitat Made, R., C. Gan, L. Yan, A. Yu, S. Yoon, J.H. Lau, and C. Lee. 2009. Study of Low Temperature Thermocompression Bonding in Ag-In Solder for Packaging Applications. Journal of Electronic Materials 38 (2): 365–371.CrossRef Made, R., C. Gan, L. Yan, A. Yu, S. Yoon, J.H. Lau, and C. Lee. 2009. Study of Low Temperature Thermocompression Bonding in Ag-In Solder for Packaging Applications. Journal of Electronic Materials 38 (2): 365–371.CrossRef
124.
Zurück zum Zitat Pang, X., T.T. Chua, H.Y. Li, E.B. Liao, W.S. Lee, and F.X. Che. 2010. Characterization and Management of Wafer Stress for Various Pattern Densities in 3D Integration Technology. In IEEE 60th Electronic Components and Technology Conference, 1866–1869. Las Vegas, NV, 1–4 June 2010. Pang, X., T.T. Chua, H.Y. Li, E.B. Liao, W.S. Lee, and F.X. Che. 2010. Characterization and Management of Wafer Stress for Various Pattern Densities in 3D Integration Technology. In IEEE 60th Electronic Components and Technology Conference, 1866–1869. Las Vegas, NV, 1–4 June 2010.
125.
Zurück zum Zitat Yan, L.L., C.K. Lee, D.Q. Yu, A. Yu, W. Choi, J.H. Lau, and S. Yoon. 2009. A Hermetic Seal Using Composite Thin Solder In/Sn as Intermediate Layer and Its Interdiffusion Reaction with Cu. Journal of Electronic Materials 38 (2): 200–207.CrossRef Yan, L.L., C.K. Lee, D.Q. Yu, A. Yu, W. Choi, J.H. Lau, and S. Yoon. 2009. A Hermetic Seal Using Composite Thin Solder In/Sn as Intermediate Layer and Its Interdiffusion Reaction with Cu. Journal of Electronic Materials 38 (2): 200–207.CrossRef
128.
Zurück zum Zitat Harman, G. 2010. Wire Bonding in Microelectronics. New York: McGraw-Hill. Harman, G. 2010. Wire Bonding in Microelectronics. New York: McGraw-Hill.
129.
Zurück zum Zitat Lau, J.H. 1996. Flip Chip Technology. New York: McGraw-Hill. Lau, J.H. 1996. Flip Chip Technology. New York: McGraw-Hill.
130.
Zurück zum Zitat Lau, J.H. 2000. Low Cost Flip Chip Technologies. New York: McGraw-Hill. Lau, J.H. 2000. Low Cost Flip Chip Technologies. New York: McGraw-Hill.
131.
Zurück zum Zitat Pang, W., R. Ruby, R. Parker, P.W. Fisher, M.A. Unkrich, and J.D. Larson III. 2008. A Temperature-Stable Film Bulk Acoustic Wave Oscillator. IEEE Electron Device Letters 29 (4): 315–318.CrossRef Pang, W., R. Ruby, R. Parker, P.W. Fisher, M.A. Unkrich, and J.D. Larson III. 2008. A Temperature-Stable Film Bulk Acoustic Wave Oscillator. IEEE Electron Device Letters 29 (4): 315–318.CrossRef
132.
Zurück zum Zitat Small, M., R. Ruby, S. Ortiz, R. Parker, F. Zhang, J. Shi, and B. Otis. 2011. Wafer-Scale Packaging for FBAR-Based Oscillators. In Joint Conference of the IEEE International Frequency Control and the European Frequency and Time Forum, San Francisco, CA, 2–5 May 2011. Small, M., R. Ruby, S. Ortiz, R. Parker, F. Zhang, J. Shi, and B. Otis. 2011. Wafer-Scale Packaging for FBAR-Based Oscillators. In Joint Conference of the IEEE International Frequency Control and the European Frequency and Time Forum, San Francisco, CA, 2–5 May 2011.
133.
Zurück zum Zitat Wakabayashi, H., H.K. Yamaguchi, M. Okano, S. Kuramochi, O. Kumagai, S. Sakane, M. Ito, M. Hatano, M. Kikuchi, Y. Yamagata, T. Shikanai, K. Koseki, K. Mabuchi, Y. Maruyama, K. Akiyama, E. Miyata, T. Honda, and M. Ohashi. 2010. A 1/2.3-Inch 10.3 M Pixel 50 Frame/s Back-Illuminated CMOS Image Sensor. In IEEE International Solid-State Circuits Conference Digest of Technical Papers, 411–412. San Francisco, CA, 7–11 Feb 2010. Wakabayashi, H., H.K. Yamaguchi, M. Okano, S. Kuramochi, O. Kumagai, S. Sakane, M. Ito, M. Hatano, M. Kikuchi, Y. Yamagata, T. Shikanai, K. Koseki, K. Mabuchi, Y. Maruyama, K. Akiyama, E. Miyata, T. Honda, and M. Ohashi. 2010. A 1/2.3-Inch 10.3 M Pixel 50 Frame/s Back-Illuminated CMOS Image Sensor. In IEEE International Solid-State Circuits Conference Digest of Technical Papers, 411–412. San Francisco, CA, 7–11 Feb 2010.
134.
Zurück zum Zitat Sukegawa, S., T. Umebayashi, T. Nakajima, H. Kawanobe, K. Koseki, I. Hirota, T. Haruta, M. Kasai, K. Fukumoto, T. Wakano, K. Inoue, H. Takahashi, T. Nagano, Y. Nitta, T. Hirayama, and N. Fukushima. 2013. A 1/4-Inch 8 M Pixel Back-Illuminated Stacked CMOS Image Sensor. In IEEE International Solid-State Circuits Conference Digest of Technical Papers, 484. San Francisco, CA, 17–21 Feb 2013. Sukegawa, S., T. Umebayashi, T. Nakajima, H. Kawanobe, K. Koseki, I. Hirota, T. Haruta, M. Kasai, K. Fukumoto, T. Wakano, K. Inoue, H. Takahashi, T. Nagano, Y. Nitta, T. Hirayama, and N. Fukushima. 2013. A 1/4-Inch 8 M Pixel Back-Illuminated Stacked CMOS Image Sensor. In IEEE International Solid-State Circuits Conference Digest of Technical Papers, 484. San Francisco, CA, 17–21 Feb 2013.
135.
Zurück zum Zitat Gat, A., L. Gerzberg, J. Gibbons, T. Mages, J. Peng, and J. Hong. 1978. CW Laser of Polyerystalline Silicon: Crystalline Structure and Electrical Properties. Applied Physics Letters 33 (8): 775–778.CrossRef Gat, A., L. Gerzberg, J. Gibbons, T. Mages, J. Peng, and J. Hong. 1978. CW Laser of Polyerystalline Silicon: Crystalline Structure and Electrical Properties. Applied Physics Letters 33 (8): 775–778.CrossRef
136.
Zurück zum Zitat Tuckerman, D.B., L.O. Bauer, N.E. Brathwaite, J. Demmin, K. Flatow, R. Hsu, P. Kim, C.M. Lin, K. Lin, S. Nguyen, and V. Thipphavong. 1994. Laminated Memory: A New Three-Dimensional Packaging Technology for MCMs. In IEEE Multi-Chip Module Conference, 58–63. Santa Cruz, CA, 15–17 Mar 1994. Tuckerman, D.B., L.O. Bauer, N.E. Brathwaite, J. Demmin, K. Flatow, R. Hsu, P. Kim, C.M. Lin, K. Lin, S. Nguyen, and V. Thipphavong. 1994. Laminated Memory: A New Three-Dimensional Packaging Technology for MCMs. In IEEE Multi-Chip Module Conference, 58–63. Santa Cruz, CA, 15–17 Mar 1994.
137.
Zurück zum Zitat Yoon, S., J. Caparas, Y. Lin, and P. Marimuthu. 2012. Advanced Low Profile PoP Solution with Embedded Wafer Level PoP (eWLB-PoP) Technology. In IEEE 62nd Electronic Components and Technology Conference, 1250–1254. San Diego, CA, May 29–June 1 2012. Yoon, S., J. Caparas, Y. Lin, and P. Marimuthu. 2012. Advanced Low Profile PoP Solution with Embedded Wafer Level PoP (eWLB-PoP) Technology. In IEEE 62nd Electronic Components and Technology Conference, 1250–1254. San Diego, CA, May 29–June 1 2012.
138.
Zurück zum Zitat Lim, S., V. Rao, W. Hnin, W. Ching, V. Kripesh, C. Lee, J.H. Lau, J. Milla, and A. Fenner. 2008. Process Development and Reliability of Microbumps. In IEEE Electronic Packaging Technology Conference, 367–372. Singapore, 9–12 Dec 2008. Lim, S., V. Rao, W. Hnin, W. Ching, V. Kripesh, C. Lee, J.H. Lau, J. Milla, and A. Fenner. 2008. Process Development and Reliability of Microbumps. In IEEE Electronic Packaging Technology Conference, 367–372. Singapore, 9–12 Dec 2008.
139.
Zurück zum Zitat Kagawa, Y., N. Fujii, K. Aoyagi, Y. Kobayashi, S. Nishi, and N. Todaka, Novel Stacked CMOS Image Sensor with Advanced Cu2Cu Hybrid Bonding. In IEEE/IEDM Proceedings, Dec 2016, 8.4.1-4. Kagawa, Y., N. Fujii, K. Aoyagi, Y. Kobayashi, S. Nishi, and N. Todaka, Novel Stacked CMOS Image Sensor with Advanced Cu2Cu Hybrid Bonding. In IEEE/IEDM Proceedings, Dec 2016, 8.4.1-4.
140.
Zurück zum Zitat Sukegawa, S., T. Umebayashi, T. Nakajima, H. Kawanobe, K. Koseki, and I. Hirota. 2013. A 1/4-inch 8 Mpixel Back-Illuminated Stacked CMOS Image Sensor. In Proceedings of IEEE/ISSCC, 484. San Francisco, CA, Feb 2013. Sukegawa, S., T. Umebayashi, T. Nakajima, H. Kawanobe, K. Koseki, and I. Hirota. 2013. A 1/4-inch 8 Mpixel Back-Illuminated Stacked CMOS Image Sensor. In Proceedings of IEEE/ISSCC, 484. San Francisco, CA, Feb 2013.
141.
Zurück zum Zitat JEDEC. 2011. Standard No. JESD229, Wide I/O Single Data Rate (Wide I/O SDR) and Standard No. JESD229-2, Wide I/O 2 (Wide I/O2). In JEDEC, Arlington, VA. JEDEC. 2011. Standard No. JESD229, Wide I/O Single Data Rate (Wide I/O SDR) and Standard No. JESD229-2, Wide I/O 2 (Wide I/O2). In JEDEC, Arlington, VA.
142.
Zurück zum Zitat JEDEC. 2013. Standard No. JESD235, High Bandwidth Memory (HBM) DRAM. In JEDEC, Arlington, VA. JEDEC. 2013. Standard No. JESD235, High Bandwidth Memory (HBM) DRAM. In JEDEC, Arlington, VA.
143.
Zurück zum Zitat Graham, S. 2013. Development of Hybrid Memory Cube. 3D IC Panel Discussion. In IMAPS 46th International Symposium on Microelectronics, Orlando, FL, Sept 30–Oct 3 2013. Graham, S. 2013. Development of Hybrid Memory Cube. 3D IC Panel Discussion. In IMAPS 46th International Symposium on Microelectronics, Orlando, FL, Sept 30–Oct 3 2013.
144.
Zurück zum Zitat Brunnbauer, M., E. Feurgut, G. Beer, T. Meyer, H. Hedler, J. Belonio, E. Nomura, K. Kiuchi, and K. Kobayashi. 2006. An Embedded Device Technology Based on a Molded Reconfigured Wafer. In IEEE 56th Electronic Components and Technology Conference, 547–551. San Diego, CA, May 30–June 2 2006. Brunnbauer, M., E. Feurgut, G. Beer, T. Meyer, H. Hedler, J. Belonio, E. Nomura, K. Kiuchi, and K. Kobayashi. 2006. An Embedded Device Technology Based on a Molded Reconfigured Wafer. In IEEE 56th Electronic Components and Technology Conference, 547–551. San Diego, CA, May 30–June 2 2006.
145.
Zurück zum Zitat Brunnbauer, M., E. Furgut, G. Beer, and T. Meyer. 2006. Embedded Wafer Level Ball Grid Array (eWLB). In IEEE 8th Electronics Packaging Technology Conference, Singapore, 6–8 Dec 2006. Brunnbauer, M., E. Furgut, G. Beer, and T. Meyer. 2006. Embedded Wafer Level Ball Grid Array (eWLB). In IEEE 8th Electronics Packaging Technology Conference, Singapore, 6–8 Dec 2006.
146.
Zurück zum Zitat Meyer, T., G. Ofner, S. Bradl, M. Brunnbauer, and R. Hagen. 2008. Embedded Wafer Level Ball Grid Array (eWLB). In IEEE 10th Electronics Packaging Technology Conference, 994–998. Singapore, 9–12 Dec 2008. Meyer, T., G. Ofner, S. Bradl, M. Brunnbauer, and R. Hagen. 2008. Embedded Wafer Level Ball Grid Array (eWLB). In IEEE 10th Electronics Packaging Technology Conference, 994–998. Singapore, 9–12 Dec 2008.
147.
Zurück zum Zitat Brunnbauer, M., T. Meyer, G. Ofner, K. Mueller, and R. Hagen. 2008. Embedded Wafer Level Ball Grid Array (eWLB). In 33rd IEEE/CPMT International Electronic Manufacturing Technology Symposium, Penang, Malaysia, 4–6 Nov 2008. Brunnbauer, M., T. Meyer, G. Ofner, K. Mueller, and R. Hagen. 2008. Embedded Wafer Level Ball Grid Array (eWLB). In 33rd IEEE/CPMT International Electronic Manufacturing Technology Symposium, Penang, Malaysia, 4–6 Nov 2008.
148.
Zurück zum Zitat Pressel, K., G. Beer, T. Meyer, M. Wojnowski, M. Fink, G. Ofner, and B. Römer. 2010. Embedded Wafer Level Ball Grid Array (eWLB) Technology for System Integration. In IEEE CPMT Symposium, Tokyo, Japan, 24–26 Aug 2010. Pressel, K., G. Beer, T. Meyer, M. Wojnowski, M. Fink, G. Ofner, and B. Römer. 2010. Embedded Wafer Level Ball Grid Array (eWLB) Technology for System Integration. In IEEE CPMT Symposium, Tokyo, Japan, 24–26 Aug 2010.
149.
Zurück zum Zitat Keser, B., C. Amrine, T. Duong, O. Fay, S. Hayes, G. Leal, W. Lytle, D. Mitchell, and R. Wenzel. 2007. The Redistributed Chip Package: A Breakthrough for Advanced Packaging. In IEEE 57th Electronic Components and Technology Conference, 286–291. Reno, NV, May 29–June 1 2007. Keser, B., C. Amrine, T. Duong, O. Fay, S. Hayes, G. Leal, W. Lytle, D. Mitchell, and R. Wenzel. 2007. The Redistributed Chip Package: A Breakthrough for Advanced Packaging. In IEEE 57th Electronic Components and Technology Conference, 286–291. Reno, NV, May 29–June 1 2007.
150.
Zurück zum Zitat Hayes, S., N. Chhabra, T. Duong, Z. Gong, D. Mitchell, and J. Wright. 2011. System-in-Package Opportunities with the Redistributed Chip Package (RCP). In 8th Annual International Wafer-Level Packaging Conference, 10.1–10.7. Santa Clara, CA, 3–6 Oct 2011. Hayes, S., N. Chhabra, T. Duong, Z. Gong, D. Mitchell, and J. Wright. 2011. System-in-Package Opportunities with the Redistributed Chip Package (RCP). In 8th Annual International Wafer-Level Packaging Conference, 10.1–10.7. Santa Clara, CA, 3–6 Oct 2011.
151.
Zurück zum Zitat Lau, J.H. 2016. 3D IC Integration and Packaging. New York: McGraw-Hill Book Company. Lau, J.H. 2016. 3D IC Integration and Packaging. New York: McGraw-Hill Book Company.
152.
Zurück zum Zitat Chaabouni, H., M. Rousseau, P. Ldeus, A. Farcy, R. El Farhane, A. Thuaire, G. Haury, A. Valentian, G. Billot, M. Assous, F. de Crecy, J. Cluzel, A. Toffoli, D. Bounchu, L. Cadix, T. Lacrevaz, P. Ancey, N. Sillon, and B. Flechet. 2010. Investigation on TSV Impact on 65 nm CMOS Devices and Circuits. In IEEE International Electron Devices Meeting, 35.1.1–35.1.4. San Francisco, CA, 6–8 Dec 2010. Chaabouni, H., M. Rousseau, P. Ldeus, A. Farcy, R. El Farhane, A. Thuaire, G. Haury, A. Valentian, G. Billot, M. Assous, F. de Crecy, J. Cluzel, A. Toffoli, D. Bounchu, L. Cadix, T. Lacrevaz, P. Ancey, N. Sillon, and B. Flechet. 2010. Investigation on TSV Impact on 65 nm CMOS Devices and Circuits. In IEEE International Electron Devices Meeting, 35.1.1–35.1.4. San Francisco, CA, 6–8 Dec 2010.
Metadaten
Titel
3D Integration
verfasst von
John H. Lau
Copyright-Jahr
2018
Verlag
Springer Singapore
DOI
https://doi.org/10.1007/978-981-10-8884-1_10

Neuer Inhalt