Skip to main content

2014 | OriginalPaper | Buchkapitel

6. Adaptive Pipelined MPSoCs

verfasst von : Haris Javaid, Sri Parameswaran

Erschienen in: Pipelined Multiprocessor System-on-Chip for Multimedia

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Pipelined MPSoCs optimised at design-time lack adaptability to run-time variations, and hence suffer from inefficient resource utilisation and may result in high energy consumption under a dynamic workload. This chapter proposes a novel adaptive pipelined MPSoC architecture, and a run-time processor manager for it.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Fußnoten
1
Resource sharing would require connection of an AP with multiple MPs. Since resource sharing is not considered in this monograph, each AP is connected to only one MP, though multiple APs can be connected to a single MP.
 
Literatur
2.
Zurück zum Zitat International Telecommunucation Union, Advanced video coding for generic audiovisual services. Recommendation H.264 and ISO/IEC 14496—10:2005, 2005 International Telecommunucation Union, Advanced video coding for generic audiovisual services. Recommendation H.264 and ISO/IEC 14496—10:2005, 2005
4.
Zurück zum Zitat H. Kalva, J.B. Lee, The vc-1 video coding standard. IEEE Multimedia 14, 88–91 (2007)CrossRef H. Kalva, J.B. Lee, The vc-1 video coding standard. IEEE Multimedia 14, 88–91 (2007)CrossRef
5.
Zurück zum Zitat S. Carta, A. Alimonda, A. Pisano, A. Acquaviva, L. Benini, A control theoretic approach to energy-efficient pipelined computation in mpsocs. ACM Trans. Embedded Comput. Syst. Article id 27: 6(4) 28 (2007) S. Carta, A. Alimonda, A. Pisano, A. Acquaviva, L. Benini, A control theoretic approach to energy-efficient pipelined computation in mpsocs. ACM Trans. Embedded Comput. Syst. Article id 27: 6(4) 28 (2007)
6.
Zurück zum Zitat A. Alimonda, S. Carta, A. Acquaviva, A. Pisano, L. Benini, A feedback-based approach to dvfs in data-flow applications. IEEE Trans. CAD Integr. Circ. Syst. 28(11), 1691–1704 (2009)CrossRef A. Alimonda, S. Carta, A. Acquaviva, A. Pisano, L. Benini, A feedback-based approach to dvfs in data-flow applications. IEEE Trans. CAD Integr. Circ. Syst. 28(11), 1691–1704 (2009)CrossRef
7.
Zurück zum Zitat H. Guo, S. Parameswaran, Balancing system level pipelines with stage voltage scaling, in Proceedings of the IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design (ISVLSI ’05) (2005) H. Guo, S. Parameswaran, Balancing system level pipelines with stage voltage scaling, in Proceedings of the IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design (ISVLSI ’05) (2005)
8.
Zurück zum Zitat X. Liu, P.J. Shenoy, M.D. Corner, Chameleon: application-level power management. IEEE Trans. Mob. Comput. 7(8), 995–1010 (2008)CrossRef X. Liu, P.J. Shenoy, M.D. Corner, Chameleon: application-level power management. IEEE Trans. Mob. Comput. 7(8), 995–1010 (2008)CrossRef
9.
Zurück zum Zitat W. Kim, M. Gupta, G.-Y. Wei, D. Brooks, System level analysis of fast, per-core dvfs using on-chip switching regulators, in Proceedings of the IEEE 14th International Symposium on High Performance Computer Architecture (HPCA 2008), pp. 123–134 (2008) W. Kim, M. Gupta, G.-Y. Wei, D. Brooks, System level analysis of fast, per-core dvfs using on-chip switching regulators, in Proceedings of the IEEE 14th International Symposium on High Performance Computer Architecture (HPCA 2008), pp. 123–134 (2008)
10.
Zurück zum Zitat K.K. Rangan, G. yeon Wei, D. Brooks, Thread motion: fine-grained power management for multi-core systems, in Proceedings of the International Symposium on Computer, Architecture, pp. 302–313 (2009) K.K. Rangan, G. yeon Wei, D. Brooks, Thread motion: fine-grained power management for multi-core systems, in Proceedings of the International Symposium on Computer, Architecture, pp. 302–313 (2009)
11.
Zurück zum Zitat M. Shafique, B. Molkenthin, J. Henkel, An hvs-based adaptive computational complexity reduction scheme for h.264/avc video encoder using prognostic early mode exclusion, in Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE), 2010, pp. 1713–1718, March 2010 M. Shafique, B. Molkenthin, J. Henkel, An hvs-based adaptive computational complexity reduction scheme for h.264/avc video encoder using prognostic early mode exclusion, in Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE), 2010, pp. 1713–1718, March 2010
12.
Zurück zum Zitat M. Shafique, L. Bauer, J. Henkel, Enbudget: a run-time adaptive predictive energy-budgeting scheme for energy-aware motion estimation in h.264/mpeg-4 avc video encoder, in Proceedings of the DATE, pp. 1725–1730 (2010) M. Shafique, L. Bauer, J. Henkel, Enbudget: a run-time adaptive predictive energy-budgeting scheme for energy-aware motion estimation in h.264/mpeg-4 avc video encoder, in Proceedings of the DATE, pp. 1725–1730 (2010)
13.
Zurück zum Zitat B. Zatt, M. Shafique, S. Bampi, J. Henkel, An adaptive early skip mode decision scheme for multiview video coding, in Proceedings of the Picture Coding, Symposium (2010) B. Zatt, M. Shafique, S. Bampi, J. Henkel, An adaptive early skip mode decision scheme for multiview video coding, in Proceedings of the Picture Coding, Symposium (2010)
15.
Zurück zum Zitat T.-C. Chen, C.-J. Lian, L.-G. Chen, Hardware architecture design of an h.264/avc video codec, in Proceedings of the 2006 Asia and South Pacific Design Automation Conference (ASP-DAC ’06) (IEEE Press, 2006) T.-C. Chen, C.-J. Lian, L.-G. Chen, Hardware architecture design of an h.264/avc video codec, in Proceedings of the 2006 Asia and South Pacific Design Automation Conference (ASP-DAC ’06) (IEEE Press, 2006)
16.
Zurück zum Zitat M. Shafique, L. Bauer, J. Henkel, 3-tier dynamically adaptive power-aware motion estimator for h.264/avc video encoding, in Proceedings of the ISLPED, pp. 147–152 (2008) M. Shafique, L. Bauer, J. Henkel, 3-tier dynamically adaptive power-aware motion estimator for h.264/avc video encoding, in Proceedings of the ISLPED, pp. 147–152 (2008)
17.
Zurück zum Zitat J. Leverich, M. Monchiero, V. Talwar, P. Ranganathan, C. Kozyrakis, Power management of datacenter workloads using per-core power gating. Comput. Archit. Lett. 8(2), 48–51 (2009)CrossRef J. Leverich, M. Monchiero, V. Talwar, P. Ranganathan, C. Kozyrakis, Power management of datacenter workloads using per-core power gating. Comput. Archit. Lett. 8(2), 48–51 (2009)CrossRef
18.
Zurück zum Zitat T. Tuan, A. Rahman, S. Das, S. Trimberger, S. Kao, A 90-nm low-power fpga for battery-powered applications. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 26(2), 296–300 (2007)CrossRef T. Tuan, A. Rahman, S. Das, S. Trimberger, S. Kao, A 90-nm low-power fpga for battery-powered applications. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 26(2), 296–300 (2007)CrossRef
Metadaten
Titel
Adaptive Pipelined MPSoCs
verfasst von
Haris Javaid
Sri Parameswaran
Copyright-Jahr
2014
DOI
https://doi.org/10.1007/978-3-319-01113-4_6

Neuer Inhalt