Skip to main content

2017 | Buch

Advances in Memristors, Memristive Devices and Systems

insite
SUCHEN

Über dieses Buch

This book reports on the latest advances in and applications of memristors, memristive devices and systems. It gathers 20 contributed chapters by subject experts, including pioneers in the field such as Leon Chua (UC Berkeley, USA) and R.S. Williams (HP Labs, USA), who are specialized in the various topics addressed in this book, and covers broad areas of memristors and memristive devices such as: memristor emulators, oscillators, chaotic and hyperchaotic memristive systems, control of memristive systems, memristor-based min-max circuits, canonic memristors, memristive-based neuromorphic applications, implementation of memristor-based chaotic oscillators, inverse memristors, linear memristor devices, delayed memristive systems, flux-controlled memristive emulators, etc.
Throughout the book, special emphasis is given to papers offering practical solutions and design, modeling, and implementation insights to address current research problems in memristors, memristive devices and systems. As such, it offers a valuable reference book on memristors and memristive devices for graduate students and researchers with a basic knowledge of electrical and control systems engineering.

Inhaltsverzeichnis

Frontmatter
Memristor Emulators: A Note on Modeling
Abstract
In a recent publication (Yi et al. 2011) elucidating a possible scheme to write information reliably onto a memory crossbar, Hewlett Packard Labs researchers employed a thyristor-based circuit to emulate the off-to-on switching behaviour of a titanium oxide memristor. The use of a thyristor device allowed them to test inexpensively and reliably the functionalities of the closed-loop crossbar write circuitry by using conventional CMOS components. From a device modeling point of view, however, it is worthy to point out that the aforementioned emulator is not a genuine memristor. The aim of this paper is to demonstrate with an in-depth mathematical analysis that the model of the thyristor does not fall into the class of memristors. The modelling approach adopted in this work may be a source of inspiration for researchers willing to check whether other devices or circuits may be classified as memristors.
A. Ascoli, R. Tetzlaff, L. O. Chua, W. Yi, R. S. Williams
A Simple Oscillator Using Memristor
Abstract
This paper presents a simple oscillator using a battery and a second order memristor without the energy storage elements inductor and capacitor. The oscillating mechanism of the proposed circuit has been explained via Hopf bifurcation theorem, small signal model, local activity principle and edge of chaos theorem. This paper can be also used as a reference for explaining the intimate relationship between the super-critical Hopf bifurcation phenomenon and the edge of chaos.
Maheshwar Pd. Sah, Vetriveeran Rajamani, Zubaer Ibna Mannan, Abdullah Eroglu, Hyongsuk Kim, Leon Chua
A Hyperjerk Memristive System with Hidden Attractors
Abstract
After the introduction by Leonov and Kuznetsov of a new classification of nonlinear dynamics with kinds of attractors (self-excited attractors and hidden attractors), this subject has received a significant interest. From an engineering point of view, hidden attractors are important and can lead to unexpected behavior. Various chaotic systems with the presence of hidden attractors have been discovered recently. Especially, memristor, the fourth basic circuit element, can be used to construct such chaotic systems. This chapter presents a new memristive system which can display hidden chaotic attractor. Interestingly, this memristive system is a hyperjerk system because it involves time derivatives of a jerk function. The fundamental dynamics properties of such memristive system are discovered by calculating the number of equilibrium points, using phase portraits, Poincaré map, bifurcation diagram, maximum Lyapunov exponents, and Kaplan–Yorke fractional dimension. Also, we have investigated the multi–stability in the memristive system by varying the value of its initial condition. In addition, adaptive synchronization for the hyperjerk memristive system is also studied. The proposed memristive system can be applied into chaos–based engineering applications because of its chaotic behavior.
Viet-Thanh Pham, Sundarapandian Vaidyanathan, Christos Volos, Xiong Wang, Duy Vo Hoang
A Memristive System with Hidden Attractors and Its Engineering Application
Abstract
After the successful fabrication of memristor at Hewlett–Packard Laboratories, memristor—based systems and their potential applications have been getting a great deal of attention in different areas from associative memory, neural networks, programmable analog ICs to low–power computing and so on. It is well known that the presence of memristor in a dynamical system may yield novel features because it is both a nonlinear element and a memory element. In this chapter, we present a memristive system with an infinite number of equilibrium points. From the computing view of point, such system belongs to a class of systems with hidden attractors according to a new classification of nonlinear dynamics. This classification has proposed by Leonov and Kuznetsov and played a significant role in engineering applications. In this work, we study the complex dynamics of the introduced memristive system. It is worth noting that the proposed system can generate hyperchaotic behavior which will be used for image encryption to illustrate its engineering application. The chaos–based image encryption has many applications in digital image storing, medical image databases, video conferencing or military transmit systems.
Viet-Thanh Pham, Sundarapandian Vaidyanathan, Christos Volos, Esteban Tlelo-Cuautle, Fadhil Rahma Tahir
Adaptive Control, Synchronization and Circuit Simulation of a Memristor-Based Hyperchaotic System With Hidden Attractors
Abstract
Memristor-based systems and their potential applications, in which memristor is both a nonlinear element and a memory element, have been received significant attention in the control literature. In this work, we study a memristor-based hyperchaotic system with hidden attractors. First, we study the dynamic properties of the memristor-based hyperchaotic system such as equilibria, Lyapunov exponents, Poincaré map, etc. We obtain the Lyapunov exponents of the memristor-based system as \(L_1 = 0.1244\), \(L_2 = 0.0136\), \(L_3 = 0\) and \(L_4 = -10.8161\). Since there are two positive Lyapunov exponents, the memristor-based system is hyperchaotic. Also, the Kaplan-Yorke fractional dimension of the memristor-based hyperchaotic system is obtained as \(D_{KY} = 3.0128\). Next, we design adaptive control and synchronization schemes for the memristor-based hyperchaotic system. The main adaptive control and synchronization results are established using Lyapunov stability theory. MATLAB simulations are shown to illustrate all the main results of this work. Finally, an electronic circuit emulating the memristor-based hyperchaotic system has been designed using off-the-shelf components.
Sundarapandian Vaidyanathan, Viet-Thanh Pham, Christos Volos
Modern System Design Using Memristors
Abstract
Since memristors have recently come to the forefront of the computer architecture field, the majority of the research is still in its infancy. The most popular application for memristors is memories, namely crossbars, but preliminary work has shown their use in logic circuits too. This work explores two approaches to memristor logic, IMPLY operations and MAD gates. While IMPLY has been successfully demonstrated and popularized in previous works, it suffers from long latencies and destructive operations. MAD gates have been shown to overcome these issues, offering a lower area and lower latency alternative. These two approaches are described, implemented, and analyzed against each other and other proposed approaches to memristor logic. Both methodologies are then presented in the context of a crossbar, showing how IMPLY and MAD operations can be performed on memory cells. It is shown that they offer improved logic-in-memory implementations over alternative proposed works. Lastly, general considerations when designing memristor-based circuits are discussed and future directions of research are motivated.
Lauren Guckert, Earl Swartzlander Jr.
RF/Microwave Applications of Memristors
Abstract
Memristor-based technology could be utilized, potentially, to enhance performance of many RF/microwave subsystems. Application of memristors in RF/microwave circuits, and in a broader context in electromagnetic systems, is another challenging field for researchers and engineers. In this application frontier, the research efforts might be divided, for example, into the following important classes of applications: (1) frequency selective surface, reconfigurable planar absorber, (2) reconfigurable antenna, direct antenna modulation, (3) RF/microwave filter, split-ring resonator filter, hairpin-line filter, capacitively coupled resonator filter, quasi-Gaussian lossy filter, (4) Wilkinson power divider. Memristors could be exploited as linear resistors with programmable resistance, which can be accurately adjusted to a desired or specified value. Precise controllability of the memristance value might be important for tuning microwave circuits and optimizing their performance. In several applications, such as filters, the high-frequency range of the operation enforces the memristor into the role of a linear resistor whose resistance can be adjusted electronically. On the other hand, some applications, such as reconfigurable electromagnetic absorbers, benefit from memristors as electromagnetic switches. Due to the unavailability of commercial memristors, it is necessary to use accurate circuit-level simulations for experimenting with the memristor-based RF/microwave circuits and for studying their performance. RF/microwave circuit simulators, which use the HSPICE engine for the time-domain transient simulation, such as NI AWR Microwave Office, can be used to verify the expected functionality of the considered memristor-based circuits.
Milka Potrebić, Dejan Tošić, Dalibor Biolek
Theory, Modeling and Design of Memristor-Based Min-Max Circuits
Abstract
Neuromorphic systems have recently emerged as promising candidates for future computing paradigms. Min-Max circuits are indispensable building blocks in Artificial Neural Networks and Fuzzy systems. For instance, the inference engine in Fuzzy controllers that constitutes the decision-making unit in such systems is a Min-Max circuit. Conventionally, transistor-based architectures were adopted in the design of Min-Max circuits. Several designs have been reported that primarily focus on reducing the area consumption (some were voltage mode and others were current mode). However, the miniaturized features of the memristor and the peculiar characteristics it exhibits have driven researchers to use it in state-of-the-art Min-Max circuits. This work addresses the theory, design and modeling of memristor-based Min-Max circuits. Basics of memristor-based Min-Max circuits are addressed through an elaborate explanation of 2-input Min-Max circuits. First, the working principle is explained based on Ohm’s and Kirchhoff’s Laws. Then, the theory is generalized to an arbitrary number ‘N’ of inputs (N-ary memristor-based Min-Max circuits) via a formal mathematical proof. An important feature of the memristor is the existence of a threshold below which no change in the state variable (no switching in the case of Min-Max circuits) occurs. Although some existing models overlook the threshold behavior of memristors, most experimental data does confirm the existence of a threshold and, accordingly, it is essential to incorporate its effect in Min-Max circuits. Furthermore, failure to abide by the threshold restrictions results in a circuit malfunction not just a parametric failure (i.e. increased power consumption, increased delay….etc.) which further necessitates a careful and thorough modeling of the effect of the threshold on the circuit’s behavior. Modeling of the threshold will be approached in two ways. First, an analytical approach is adopted to derive a closed form expression for the effect of the threshold on the circuit. Then, an algorithm is developed (implemented in MATLAB) that emulates the circuit operation. The algorithm runs exhaustive simulations on memristor states and input voltage vectors for different circuit sizes (number of inputs) to verify the derived model. The implications of the derived model are twofold: (1) it provides a closed formula for designers who wish to design memristor-based Min-Max circuits (2) it demonstrates a clear trade-off between the size and the resolution of the circuit.
S. H. Amer, A. H. Madian, Hany ElSayed, A. S. Emara, H. H. Amer
Analysis of a 4-D Hyperchaotic Fractional-Order Memristive System with Hidden Attractors
Abstract
In 1695, G. Leibniz laid the foundations of fractional calculus, but mathematicians revived it only 300 years later. In 1971, L.O. Chua postulated the existence of a fourth circuit element, called memristor, but Williams’s group of HP Labs realized it only 37 years later. In recent years, few unusual dynamical systems, such as those with a line of equilibriums, with stable equilibria or without equilibrium, which belong to chaotic systems with hidden attractors, have been reported. By looking at these interdisciplinary and promising research areas, in this chapter, a fractional-order 4-D memristive system with a line of equilibria is introduced. In particular, a hyperchaotic behavior in a simple fractional-order memristor-based system is presented. Systematic studies of the hyperchaotic behavior in the integer and fractional-order form of the system are performed using phase portraits, Poincaré maps, bifurcation diagrams and Lyapunov exponents. Simulation results show that both integer-order and fractional-order system exhibit hyperchaotic behavior over a wide range of control parameter. Finally, the electronic circuits for the evaluation of the theoretical model of the proposed integer and fractional-order systems are presented.
Christos Volos, V.-T. Pham, E. Zambrano-Serrano, J. M. Munoz-Pacheco, Sundarapandian Vaidyanathan, E. Tlelo-Cuautle
Adaptive Control and Synchronization of a Memristor-Based Shinriki’s System
Abstract
The recent discovery of memristor has aroused great interest in the scientific community about this new fourth circuit element and its applications in spintronic devices, ultra-dense information storage, neuromorphic circuits and programmable electronics. Also, the intrinsic nonlinear characteristic of memristor has been exploited in implementing novel chaotic oscillators with complex dynamics, by replacing their nonlinear elements with memristors. However, the increased systems’ complexity, due to the use of memristor, have been raised significantly the interest for studying the cases of control of such systems as well as the synchronization of coupled memristive systems. So, to this direction, this chapter presents an adaptive controller, which is designed to stabilize a memristor-based chaotic system with unknown memristor’s parameters. Moreover, an adaptive controller is designed to achieve global chaos synchronization of the memristor-based chaotic systems with unknown memristor’s parameters. The proposed chaotic system is a modified Shinriki nonlinear circuit, in which its nonlinear positive conductance has been replaced with a first order memristive diode bridge. All the main adaptive results in this chapter are proved using Lyapunov stability theory. The simulation results confirm the effectiveness of the proposed control and synchronization schemes.
Christos Volos, Sundarapandian Vaidyanathan, V.-T. Pham, H. E. Nistazakis, I. N. Stouboulos, I. M. Kyprianidis, G. S. Tombras
Canonic Memristor: Bipolar Electrical Switching in Metal-Metal Contacts
Abstract
In the work, by uncovering hitherto unknown electrical properties of a set of coherer and autocoherer, we find that extremely simple devices show memristive properties. Coherer and the auto-coherer are electrically-controllable state-dependent resistors, the state variable being the maximum current flown through the device. Bipolar switching in these devices, wherein the device can be programmed (electrically) to an older higher resistance state has also been observed. This shows that simple setting such as metallic contacts show memristive properties and constitute the canonic implementation of a memristor.
Gaurav Gandhi, Varun Aggarwal
Distributed In-Memory Computing on Binary Memristor-Crossbar for Machine Learning
Abstract
The recent emerging memristor can provide non-volatile memory storage but also intrinsic computing for matrix-vector multiplication, which is ideal for low-power and high-throughput data analytics accelerator performed in memory. However, the existing memristor-crossbar based computing is mainly assumed as a multi-level analog computing, whose result is sensitive to process non-uniformity as well as additional overhead from AD-conversion and I/O. In this chapter, we explore the matrix-vector multiplication accelerator on a binary memristor-crossbar with adaptive 1-bit-comparator based parallel conversion. Moreover, a distributed in-memory computing architecture is also developed with according control protocol. Both memory array and logic accelerator are implemented on the binary memristor-crossbar, where logic-memory pair can be distributed with protocol of control bus. Experiment results have shown that compared to the analog memristor-crossbar, the proposed binary memristor-crossbar can achieve significant area-saving with better calculation accuracy. Moreover, significant speedup can be achieved for matrix-vector multiplication in the neuron-network based machine learning such that the overall training and testing time can be both reduced respectively. In addition, large energy saving can be also achieved when compared to the traditional CMOS-based out-of-memory computing architecture.
Hao Yu, Leibin Ni, Hantao Huang
Memristive-Based Neuromorphic Applications and Associative Memories
Abstract
The recent realization of memristors opened the possibility to fabricate novel neuromorphic computational systems, including highly scalable and low power artificial neural networks. In fact, it has been shown that memristors can be used as an artificial synapse or to build the spiking core of an artificial neuron. The high resemblance between memristor and synaptic dynamics offers exciting possibilities in two major research fields: on one hand, memristors can be used to advance our understanding of the human brain, by supporting very-large-scale integration (VLSI) models where experiments can be performed and hypothesis tested in an in silico testbed. On the other hand, memristors have the potential to support novel advances in computing by providing the building blocks to bio-inspired computing paradigms, alternative to the von Neumann architecture, where storage and processing are supported by the same substrate. This chapter reviews the neuromorphic properties of memristors, comparing them with the key players of neuronal computations, synapses and neurons. The presentation is extended to more complex systems, where multiple computing units are combined in networks to achieve more elaborated dynamics. Emphasis is given to memristive-based associative memories, a bio-inspired content addressable memory system which relevant properties such as distributed storage and noise correction.
C. Dias, J. Ventura, P. Aguiar
Experimental Analogue Implementation of Memristor Based Chaotic Oscillators
Abstract
The theory of memristor was postulated in the year of 1971 by Leon O. Chua. The intensive interest on memristive systems is given by the researchers since after the physical realization of the hysteresis behavior in a nanoscale TiO\(_{\mathrm {2}}\) memristor in 2008 by a group of researchers at HP Labs lead by Stanley Williams. Research on memristive systems has been carried out on various capacities such as understanding the mathematics of memristor, finding new materials which have memristive properties, studying the underlying dynamics of memristive systems and revisiting the existing concepts with memristor as a nonlinear element. As a result, memristors have potential applications in various domains. It ranges from neural networks, memory devices, artificial intelligence, high speed computing, nano batteries and human skin modeling, etc. In the recent times, much attention is given to explore the nonlinear dynamics of memristor based circuits. In this chapter, we consider a smooth continuous cubic memristor as nonlinear element. It is applied to (a) an autonomous and (b) a non-autonomous dynamical systems namely, the Chua’s circuit and Duffing Oscillator, to study the associated dynamics of these systems. The numerical simulation of the circuit systems as well as its hardware experimental studies are performed in the laboratory. An inductor free realization and volume expanded period doubling scenario in a memristive Chua’s circuit is studied. The complex behaviors, like, bifurcations and chaos, three-tori, transient chaos and intermittency in a memristive Duffing oscillator are described. In addition, “0–1 test” for the experimental time series data characterizing the regular and chaotic dynamics of the proposed circuits are also discussed.
R. Jothimurugan, S. Sabarathinam, K. Suresh, K. Thamilmaran
Memristor and Inverse Memristor: Modeling, Implementation and Experiments
Abstract
Pinched hysteresis is considered to be a signature of the existence of memristive behavior. However, this is not completely accurate. In this chapter, we are discussing a general equation taking into consideration all possible cases to model all known elements including memristor. Based on this equation, it is found that an opposite behavior to the memristor can exist in a nonlinear inductor or a nonlinear capacitor (both with quadratic nonlinearity) or a derivative-controlled nonlinear resistor/transconductor which we refer to as the inverse memristor. We discuss the behavior of this new element and introduce an emulation circuit to mimic its behavior. Connecting the conventional elements with the memristor and/or with inverse memeristor either in series or parallel affects the pinched hysteresis lobes where the pinch point moves from the origin and lobes’ area shrinks or widens. Different cases of connecting different elements are discussed clearly especially connecting the memristor and the inverse memristor together either in series or in parallel. New observations and conditions on the memristive behavior are introduced and discussed in detail with different illustrative examples based on numerical, and circuit simulations.
Mohammed E. Fouda, Ahmed G. Radwan, Ahmed Elwakil
A Conservative Hyperchaotic Hyperjerk System Based on Memristive Device
Abstract
Memristor-based systems and their potential applications, in which memristor is both a nonlinear element and a memory element, have been received significant attention in the control literature. In this work, we propose a conservative memristor-based hyperchaotic hyperjerk system with infinite number of equilibrium points. In classical mechanics, the third-order time-derivative of displacement is called jerk, while the fourth-order time-derivative of displacement is called snap. As a result, a dynamical system which is represented by an nth order ordinary differential equation with \(n > 3\) is considered as a hyperjerk system. Hyperjerk systems have received significant attention in the control literature. In this research work, a conservative memristor-based hyperjerk system has been designed which displays rich, hyperchaotic behavior. Interestingly, this hyperjerk system displays an infinite number of equilibrium points because of the presence of a memristive device. In this work, we obtain the Lyapunov exponents of the memristor-based system as \(L_1 = 0.2098\), \(L_2 = 0.2035\), \(L_3 = 0\) and \(L_4 = -0.4133\). Since there are two positive Lyapunov exponents, the memristor-based system is hyperchaotic. Also, the Kaplan-Yorke dimension of the memristor-based hyperchaotic system is obtained as \(D_{KY} = 4\). Next, we design adaptive control and synchronization schemes for the memristor-based hyperjerk system with unknown parameters via backstepping control method. The main adaptive control and synchronization results are established using Lyapunov stability theory. MATLAB simulations are shown to illustrate all the main results of this work.
Sundarapandian Vaidyanathan
Logic Synthesis for Majority Based In-Memory Computing
Abstract
The resistive switching property exhibited by many emerging memory technologies enables the execution of logic operations directly with memory arrays. This opens new horizons to a modern era of computer architectures beyond the traditional Von Neumann architectures which have separated memory and computing units. In this chapter, the memristive behavior of RRAM is abstracted as a majority based logic operation for efficient synthesis of logic-in-memory circuits and systems. A majority based Programmable Logic-in-Memory (PLiM) architecture is also introduced and compiled addressing the latency and area issues.
Saeideh Shirinzadeh, Mathias Soeken, Pierre-Emmanuel Gaillardon, Rolf Drechsler
Analysis of Dynamic Linear Memristor Device Models
Abstract
The aim of this book chapter is to provide a comprehensive review report on the Memristor device. Development of linear model for memristor and analysis of memristor are the prime focus as its current requirement for high speed and low power circuits design. Detailed discussion about memristor device physics, structure, operation, mathematical modeling and TCAD simulations have been carried out for better understand of memristor. Moore’s law, the semiconductor industry’s obsession with the shrinking of transistors with the commensurate steady doubling on chip about every two years, has been a source of about 50 year technical and economic revolution. Numerous innovations by a large number of scientists and engineers have helped significantly to sustain Moore’s law since the beginning of the Integrated Circuit (IC) era. As the cost of computer power to the consumer reduces, the cost of production for producers to sustain Moore’s law follows an opposite trend, i.e. Research, Development, Manufacturing, and Test costs are increasing continuously with each new generation of chips. This had led to the reason for existence of Moore’s second law, also called Rock’s law, which is that the capital cost of a semiconductor fabrication also increases exponentially over time. The formation of memristor is a great achievement in semiconductor industry considering Moore’s second law because of its very easy and less steps of fabrication which is the reason for memristor being so cheap, while its nano scale size is new direction to attain Moore’s first law. Therefore, the modelling and simulation of memristor is essential to analyze more advanced features of memristor without spending a lot of money on fabrication and testing.
Balwinder Raj, Sundarapandian Vaidyanathan
Dynamics of Delayed Memristive Systems in Combination Chaotic Circuits
Abstract
The use of memristor in the realization of chaotic circuits has gained popularity in recent times. This can be attributed to its simplicity over the traditional Chua’s diode. The memristor as a nanometer-scale passive circuit element which can be described as a resistor with memory and possesses nonlinear characteristics. In this chapter, the numerical and experimental dynamics of non-autonomous time delay memristive oscillator which consists of negative conductance and smooth-cubic memristor are reported. Diffusive and negative feed back coupling of combination-combination arrays of the electronic circuits are also presented. The viability of both numerical and electronic simulation are also presented.
O. A. Adelakun, S. T. Ogunjo, I. A. Fuwape
A Novel Flux-Controlled Memristive Emulator for Analog Applications
Abstract
Emerging memristor technology is drawing widespread attention during the recent time due to its potential diverse applications in nanoelectronic memories, logic and neuromorphic computer architectures. Due to the absence of a practical memristive device, most of the research works in this area are still based on memristor emulator circuits that can be of current-controlled or voltage-controlled type. In this chapter, we introduce two emulator circuits for flux-controlled memductor and memristor. These emulator circuits have been built based on second generation current conveyer (CCII+), one multiplier and a square circuit to mimic the hysteresis behavior of the memristor. The proposed memristor emulator circuits can not only emulate memristive and plasticity function but also can be configured for floating configurations characteristic. Furthermore, we present the mathematical modeling, SPICE simulation and experimental results of the proposed emulator circuits. The series and parallel connectivity of these emulator circuits have been also studied, In addition to frequency analysis of their behavior.
Abdullah G. Alharbi, Mohammed E. Fouda, Masud H. Chowdhury
Metadaten
Titel
Advances in Memristors, Memristive Devices and Systems
herausgegeben von
Sundarapandian Vaidyanathan
Christos Volos
Copyright-Jahr
2017
Electronic ISBN
978-3-319-51724-7
Print ISBN
978-3-319-51723-0
DOI
https://doi.org/10.1007/978-3-319-51724-7

Premium Partner