Skip to main content

2020 | Buch

Advances in VLSI, Communication, and Signal Processing

Select Proceedings of VCAS 2018

herausgegeben von: Dr. Debashis Dutta, Prof. Haranath Kar, Prof. Chiranjeev Kumar, Prof. Vijaya Bhadauria

Verlag: Springer Singapore

Buchreihe : Lecture Notes in Electrical Engineering

insite
SUCHEN

Über dieses Buch

This book comprises select proceedings of the International Conference on VLSI, Communication and Signal processing (VCAS 2018). It looks at latest research findings in VLSI design and applications. The book covers a wide range of topics in electronics and communication engineering, especially in the area of microelectronics and VLSI design, communication systems and networks, and image and signal processing. The contents of this book will be useful to researchers and professionals alike.

Inhaltsverzeichnis

Frontmatter

Communication Engineering

Frontmatter
BER Performance Evaluation of Different Modulation Techniques for Underwater FSO Communication System

Wireless data transmission in the underwater medium is of high importance for applications related to the oil industry, military, and scientific community purposes. In the underwater environment, optical wave propagation experiences scattering effect that produces dispersion and creates inter symbol interference that minimizes the signal-to-noise ratio. This paper evaluates different modulation techniques like Phase Shift Keying (PSK), Differential Phase Shift Keying (DPSK), Pulse Amplitude Modulation (PAM), and Quadrature Amplitude Modulation (QAM) in terms of Bit Error Rate (BER) for four different types of underwater media, viz., pure sea, clean ocean, coastal ocean, and turbid harbor water by assuming the Rayleigh fading channel model and found the best suitable technique for Underwater Free Space Optical (UW-FSO) communication.

Sadanand Yadav, Vinay Kumar, Sanjay B. Dhok, Gaurav Srivastava, Akhilendra Pratap Singh, Mahesh Kumar Gupta
Reliable Location-Aware Routing Protocol for Urban Vehicular Scenario

Vehicular Ad hoc Network is a subcategory of Mobile Ad hoc Network where a wireless communication is established between vehicles and road side unit. However, the frequently changing topology of vehicles and the absence of any centralized administration make routing a challenging task. This paper presents a Reliable Location-Aware Routing Protocol where each vehicle is assumed to be equipped with a Global Positioning System and a digital road map. Therefore, a vehicle can get its location on the road map. If the forwarder vehicle is present at the road intersection, then it starts forwarding data through the road having the highest weight factor. This weight factor is calculated on the basis of distance, direction, and vehicular density on that road. On the other hand, when the vehicle lies between intersections, a two-level process is applied to get a reliable data transmission. This two-level process helps vehicles to forward data without getting stuck in a local optimum situation. The performance of this work has been evaluated using NS 2.34 and is compared with the existing road-aware geographic routing protocol. The simulation shows better results in terms of packet delivery ratio and throughput.

Ankita Srivastava, Arun Prakash
DFT Precoder Technique Combined with µ-Law Companding for PAPR Reduction in OFDM System

Transmitting high data rate is one of the benefits of the orthogonal frequency division multiplexing (OFDM). However, it undergoes high Peak-to-Average Power Ratio (PAPR) which degrades the system performance. To overcome high PAPR of the OFDM system, the µ-law companding technique is applied. This technique expands only small signals and is not able to compress the high peaks effectively. As a result, average power increases. In this paper, Discrete Fourier Transform (DFT) precoding technique is employed to the µ-law-based OFDM system to reduce further PAPR by converting a multicarrier OFDM into a single-carrier OFDM system. Moreover, it not only reduces PAPR but also minimizes the system complexity because it is a linear technique. Simulation results show how the DFT precoder-based µ-law companding technique realizes reduced PAPR and improved Bit Error Rate (BER) performance in comparison to Discrete Hartley Transform (DHT) precoding with a µ-law companded-based OFDM system.

Ajay Kumar Yadav, Pritam Keshari Sahoo, Y. K. Prajapati
Power Sector Reforms, Strategies, and Contribution of Private Sector

Power is a fundamental necessity for all walks of life and has been perceived as a fundamental human need. Indian power area is seeing real changes. Nonetheless, the interest for control has been overwhelming the development of accessibility. This is because of deficiencies in Generation, Transmission & Distribution and in addition wasteful utilization of power and shortage of financing. High level of specialized and business misfortunes and absence of business approach in the administration of utilities has prompted unsustainable monetary activities. According to Census 2001, around 44% of the families do not approach power. Power Act, 2003 gives an empowering structure to quickened and more effective improvement of the power part. The paper has been organized as follows. In the introductory section, we provide a brief background of the key issues facing the Indian power sector. In the section on the global experience in power sector reform, we discuss the key initiatives in the areas of reforms in other developing countries and what broad lessons can be learnt. Some of the key issues of the debate about privatization and its potential benefits and drawbacks are dealt in the section on way forward for the Indian power sector. In the concluding section, we try and identify some of the “Best Practices” available from the global experience in power sector reform to draft innovative solutions for the Indian power sector.

Rajesh Kumar Singh, Arun Kumar Singh
Performance Evaluation of IEEE 802.11p Physical Layer for Efficient Vehicular Communication

Intelligent transportation systems have gained huge interest worldwide for providing safer, secure, and efficient communication systems for emergency, time management, and fuel-saving applications. Vehicular Ad-hoc Network technology provides a set of services and applications for the above requirements much effectively than other technologies. IEEE 802.11p standard is developed for handling the requirements and issues of vehicular communication that includes communication between Vehicle-to-Vehicle and Vehicle-to-Infrastructure. IEEE 802.11p employs orthogonal frequency division multiplexing technology for vehicular communication. This work evaluates the performance of 802.11p physical layer under extreme channel conditions. The quality of transmission is measured for different standard modulation techniques in terms of bit error rate with respect to varying signal-to-noise ratio.

Devesh Shukla, Vinay Kumar, Arun Prakash
A Robust Energy-Efficient Cluster-Based Routing Protocol for Mobile Wireless Sensor Network

The mobile wireless sensor network is a promising technology having a wide number of applications. The sensor nodes are mobile and able to communicate with each other in an ad hoc manner. Due to mobility, it outperforms the static wireless sensor network as MWSN increases the throughput, network lifetime, and reduces energy consumption. Mobile sensor network has better ability to monitor the target area than static sensor network. However, the routing protocol in mobile environment is complex in resource constraints MWSN. So, it is required to develop an energy-efficient routing protocol to improve network performance. In this paper, a robust energy-efficient cluster-based routing protocol is proposed. The energy-rich node is selected as cluster head with minimum velocity for maximum connectivity among the cluster members. Selection of reliable forwarder improves network performance. Extensive simulation study is carried out to evaluate the performance of the proposed routing protocol with respect to delay, throughput, PDR, and total energy consumption.

Yogesh Tripathi, Vinay Kumar, Arun Prakash
A Resource Allocation Protocol to Meet QoS for Mobile Ad-hoc Network (MANET) in Tactical Scenario

In this work, we propose a new Resource Allocation Protocol to meet Quality of Service (QoS) parameters of Transmission Control Protocol/Internet Protocol (TCP/IP) based on mobile ad-hoc networks (MANET). Dynamic slot assignment method for Frequency Hopping (FH) based Time Division Multiple Access (TDMA) environment is proposed. Control slots are allocated to each node to take care of exposed and hidden node problems. The slot allocation protocol is designed for the performance optimization of TCP/IP data. The proposed protocol is implemented on a set up using V/UHF radios and tested for performance of TCP/IP communication for various services. Implementation results clearly show the improvement in the data rate and jitter.

Hemant Kumar Pande, Kapil Kumar Srivastava, Lal Chand Mangal
Comparative Study of Anomaly Detection in Wireless Sensor Networks Using Different Kernel Functions

Wireless sensor network (WSN) is defined as an autonomous network composed of low power sensor nodes having limited computational, communication, and energy resources. Being short at resources they require efficient use of each resource to prolong network lifetime. Sensor networks are exposed to noise, compromised nodes, low battery levels, and damaged sensors, all these results in anomalous readings or anomaly. Presence of anomaly in system deteriorates the performance of WSN in terms of efficiency, accuracy, and reliability. Hence anomaly detection becomes a major challenge to decide the performance of network. Support vector machine (SVM) is a light weight, learning-based binary classifier that can classify the raw data into normal and anomalous. SVM suffers from computational complexity while handling large datasets, so sequential minimal optimization SVM (SMO-SVM) is used to reduce the complexity. In this paper, a comparative study is made on anomaly detection using SMO-SVM classifier utilizing different kernel functions.

Shashank Gavel, Ajay Singh Raghuvanshi, Sudarshan Tiwari
Proactive Spectrum Handoff-Based MAC Protocol for Cognitive Radio Ad hoc Network

Cognitive radio technology significantly delivers solution to the problem of congested spectrum by exploiting radio spectrum unused by licensed users. The cognitive radio network consists of a number of channels and a group of unlicensed secondary users (SUs), which are ready to access the channel when the licensed primary users (PUs) are idle. To improve the performance of the cognitive radio network, spectrum handoff can be considered. This paper presents a proactive spectrum handoff-based medium access control protocol that is designed for cognitive radio ad hoc networks. The proposed protocol ensures to enable the SUs of the cognitive network for better accessibility and more flexibility with better performance.

T. Akhil Chandran, Raghavendra Pal, Arun Prakash, Rajeev Tripathi
An Energy-Efficient Framework Based on Random Waypoint Mobility Model in WSN-Assisted IoT

In this paper, we propose a hierarchical framework for mobile ad hoc IoT applications such as animal monitoring system, which supports the scalable network and uniform energy consumptions across all the nodes to prolong the network lifetime. The proposed framework uses the clustering algorithm to divide the network into equal zones. Each node belongs to some particular zone. Nodes are mobile (based on Random Waypoint Model) and able to move from one zone to another zone in the entire network. Some nodes select as zone head, zone coordinator, and relay node. Node forwards the data packets to the base station via a nearest relay node. Relay node forwards the packets to the zone head, and zone head transmits these packets to base stations via upper layer zone coordinator. The comparison has been made of the proposed framework with static model, LEACH and EESAA routing protocols. It identified that the proposed technique performs well in terms of energy consumption and network lifetime.

Anurag Shukla, Sarsij Tripathi
A Scheduling Algorithm Including Deadline of Messages in Vehicular Ad hoc Network

Vehicular Ad hoc Network is the area which is attracting a large number of researchers. The problem faced by this network is high mobility, large topological area, and frequent changes in connection and location. Messages are broadly divided into safety and non-safety messages. For proper communication and safety of life, proper scheduling of messages is required in VANET so that important messages are given the highest priority. In this paper, a scheduling strategy is proposed which schedules the messages according to the parameters like deadline, size, and static factor of message as well as the speed of the vehicle. Based on these parameters the priority of messages is determined and the messages are then rescheduled accordingly. The proposed algorithm is then simulated to demonstrate improvement in comparison to Taherkhani et al.

Abhishek Agarwal, Raghavendra Pal, Arun Prakash
Hardware Implementation of Simeck Cipher as a Lightweight Hash Function

Internet of Things brings a galore of lightweight connected devices which triggered a need for befitting security mechanisms. A lightweight hash function is one of the major step taken to accomplish that requirement. In this paper, capabilities of Simeck cipher for implementing hash function are explored. A novel architecture is proposed that serve as a cipher as well as hash function. It nullifies the use of two separate functions in power constrained devices where both the operations are required. The preliminary requirements for power and area are ascertained by implementing it on FPGA and developing an ASIC prototype. The hardware utilization and a number of clocks required are compared with SHA and another hash function and the proposed hash function performs better in these aspects. The basic security analysis of the hash function is also performed.

Prachin Bhoyar, Sanjay Dhok, Raghavendra Deshmukh
Comparative Study of PSO-Based Hybrid Clustering Algorithms for Wireless Sensor Networks

Clustering is a task which creates groups depending upon the presence of similarity between the data objects. Many clustering algorithms exist, which are capable of creating well-defined clusters. One of the popular algorithms is K-means, which is generally used for data clustering where performance is dependable on initial state of centroid but have limitation of trapping in local optima. Besides K-means, K-harmonic means, and Fuzzy C-means are also popular algorithms used for data clustering but again they have the same limitation of trapping in local optima. So this creates problem while handling anomaly existing dataset in wireless sensor network. In this paper, an analysis of best suitable hybrid clustering algorithm is brought for a congregation of normal and anomalous dataset by using a stochastic tool Particle Swarm Optimization (PSO) by utilizing different sensor datasets. The results are encouraging in terms of best suitable fitness function and low computational time.

Ghanshyam Singh, Shashank Gavel, Ajay Singh Raghuvanshi
Modified Cluster Head Election Scheme Based on LEACH Protocol for MI-Driven UGWSNs

To enlarge the lifetime of any microsensor network the factors like energy consumption rate, efficient routing protocols, and media access plays an important role. In this article, we incorporated the concept of low-energy adaptive clustering hierarchy (LEACH) protocol in homogeneous magnetic induction (MI) communication-based underground wireless sensor network (UGWSN), i.e., in dry soil medium. Furthermore, we extended our study to its heterogeneous counterpart. Herein, a distinct energy model is used for energy calculations. Apart from this, we developed an energy-efficient threshold-based cluster head (CH) election technique which considers the initial energy of every sensor node in each round. The simulation results show that there is a consistent performance in prolonging the wireless sensor network lifetime which is expressed in terms of energy dissipation of network and the number of alive nodes.

A. Laxmi Prasanna, Vinay Kumar
Stable Energy-Efficient Routing Algorithm for Dynamic Heterogeneous Wireless Sensor Networks

The advancement in recent research in the field of dynamic wireless sensor networks opens the major challenges in terms of Qos (Quality of Service), connectivity, reliability, and many more. The work that is being presented in this literature overcomes such issues by implementing a Stable Energy-Efficient Routing Algorithm (SERA) for Dynamic Heterogeneous Wireless Sensor Networks (DHWSNs). The SERA protocol dynamically selects the cluster heads (CHs) and also provides the re-rotation of such selected CHs at the proper time for sync energy consumption in the network. In SERA, the normalized concept of distance and energy is used for selecting the CHs and the connectivity of such CHs with its neighbors is provided on the basis of threshold distance $$d_o$$, thereby, increasing the reliability, QoS, and connectivity in the network. The simulation results justified that the SERA protocol withstands for DHWSNs in terms of QoS, connectivity, reliability, and stability.

Akshay Verma, Sunil Kumar, Prateek Raj Gautam, Arvind Kumar
Comparative Study of Different Routing Protocols for IEEE 802.15.4-Enabled Mobile Sink Wireless Sensor Network

Routing protocols play a very significant role in Wireless Sensor Networks (WSN). Routing is responsible for establishing an optimal path between the source and sink node. WSN are self-organized networks of spatially distributed dedicated sensors which collect the data and send it to the base station. IEEE 802.15.4 is an IEEE standard developed for Low Rate-Wireless Personal Area Network (LR-WPAN). Most real-time WSN applications use IEEE 802.15.4 standard for Media Access Control (MAC) and Physical layers in order to enhance the functionality of the nodes. This paper aims to provide a comparative performance evaluation of four chosen routing protocols most suited for WSN applications, which are, Ad-hoc On-demand Distance Vector routing (AODV), Dynamic MANET On-demand routing (DYMO), Bellman–Ford and Optimized Link State Routing (OLSR) protocols. The performance of each protocol is compared using standard Quality of Service metrics (QoS) like average throughput, average end-to-end delay, average energy consumption, etc. The developed scenario is studied for both stationary and mobile sink condition. Simulation results presented show that DYMO performs best in terms of average throughput, average energy consumption, and total packet received at sink.

Pallavi Joshi, Ghanshyam Singh, A. S. Raghuvanshi
Cooperative Communications Framework for Industrial Applications

The communication network of any industry plays very crucial role in its efficient operations and hence there is an increasing demand for building a dedicated wireless network which should be used within the industry by various entities. In this work, a cooperative communication-based unified framework has been developed that allows the spectrum sharing among the employees, machines, operators, managers, etc. Using the concepts of cognitive and cooperative communications with relays, all communications are carried over the same spectrum in very efficient way. Simulation is carried out by deploying both stationary as well as mobile nodes and the overall performance is analyzed in terms of the outage characteristics and channel capacities of both primary (fixed nodes) and secondary (mobile nodes) communications. A protocol stack with three layers has been proposed at the end for supporting the prototype development.

Mudit Agrawal, Nandkishor Joshi, Neetesh Purohit
p-Cycles as Their Own m-Cycles for Fault Detection and Localization in Elastic Optical Networks

In the new generation, the requirement of transmission of information is an unavoidable need for an exponentially growing traffic demand which is provided by elastic optical networks. A small disruption in these networks interrupts an enormous amount of transferring of data among common as well as prime users. Network survivability has, therefore, become a necessity for uninterrupted network services. In order to achieve the network survivability, preconfigured protection cycle (p-cycle) structures due to their fast restoration speed as well as the capacity efficiency are utilized with additional resources to cater the backup protection. p-Cycles protect span, with the presumption of having the knowledge of failed span in real time. In case of failed span is not known the fault detection and localization is required before providing protection which is done by monitoring cycles (m-cycles). It is investigated that p-cycle structures which are used for protection can also be made able to function as m-cycles for fault detection and localization. An Integer Linear Programming (ILP) model is developed to minimize total spare capacity considering p-cycles also performing the function of m-cycles. Numerical results reveal that there is no or fewer extra cost required in case of combined p-cycles with m-cycles compared to pure p-cycles. The reason for no extra cost in combined p-cycles with m-cycles is that here some p-cycles are performing the dual role of protection as well as detection and localization of failed span of the network.

Deo Chandra Jaiswal, Rachna Asthana
Analysis of Modified Swastika Shaped Slotted (MSSS) Microstrip Antenna for Multiband and Ultra-wideband Applications

In this paper, a modified swastika shaped slotted (MSSS) microstrip antenna is designed for multiband and ultra-wideband (UWB) applications. The analysis of the proposed microstrip antenna has been analyzed using HFSS simulation software. The multiband behavior of antenna has been achieved for UWB, Ku-band, and K-band applications. The proposed antenna covers almost entire UWB band (3.1–10.6 GHz) useful for commercial purposes such as Wi-Fi (2.4 GHz), WiMAX (3.3–3.8 GHz), and WLAN (5.15–5.825 GHz) and also useful for Ku-band (12–18 GHz) and K-band (18–27 GHz) applications. The antenna resonates at different frequencies 6.65 GHz, 13.87 GHz, 16.99 GHz, 19.52 GHz, and 27.91 GHz having return loss of −33.785 dB, −12.401 dB, −17.869 dB, −19.313 dB, and −13.267 dB, respectively. Its radiation characteristics show that it has better UWB and multiband performances for wireless applications.

Devesh Tiwari, Mohd. Gulman Siddiqui, A. K. Saroj, J. A. Ansari, Neelesh Agrawal, Mukesh Kumar
A Compact Star Shaped Fractal Antenna for Multiband Applications

In this paper, the design and analysis of a fractal-based patch antenna is described for the multiband applications. The proposed structure is designed on a single substrate. On one side of the substrate, star shaped fractal geometry is printed and on the other side of the substrate, a defected ground structure is created. The antenna is simulated using HFSS software. To verify the simulated results, a prototype of the structure is fabricated using FR4 substrate. The proposed antenna exhibits triple band characteristics. It has three resonant frequencies at 2.25 GHz, 3.61 GHz, and 5.16 GHz with the return loss of −12.25 dB, −32.5 dB, and −35.75 dB respectively. The simulated and measured results are compared and these are close enough. The proposed antenna can be used for triple band applications in S-band (2–4 GHz) and C-band (4–8 GHz).

Shweta Sharma, Som Pal Gangwar
Dual-Band Modified U-Shaped Slot Antenna with Defected Ground Structure for S-Band Applications

In this article, design and investigation of a modified U-shaped slot antenna for dual-band applications is reported through simulation analysis and measured results. The proposed structure is designed using a single substrate. On one side of the substrate, first a rectangular patch is printed and then modified U-shaped slot is etched. On the other side of the substrate, a defected ground structure is created to enhance the gain. The antenna is simulated using HFSS software. To verify the simulated results, a prototype of the structure is fabricated using FR4 substrate. The proposed antenna exhibits dual-band characteristics. It has two resonant frequencies at 2.59 GHz and 3.76 GHz with return loss of 27.4 dB and 16.9 dB respectively. The difference in simulated and measured results are negligible. The proposed antenna can be used for dual-band applications in S-band (2–4 GHz).

Anuradha Gupta, Som Pal Gangwar
Localization of Sensor Nodes in WSN Using Area Between a Node and Two Beacons

This paper presents a localization scheme for sensor nodes in Wireless Sensor Networks (WSNs). The scheme is capable of localizing the nodes in the region with the help of a few beacon nodes. The nodes don’t have to exchange information among them, which saves time and reduce energy consumption at nodes. Nodes determine their location only based on the information received by them from three closest beacons nodes. The beacon nodes can vary transmit power $$P_t$$ to increase or decrease coverage radius.

Prateek Raj Gautam, Sunil Kumar, Akshay Verma, Tarique Rashid, Arvind Kumar
A Compact Rectangular Patch Antenna with Defected Ground Structure for Multiband Applications

This paper investigates the design and radiation performance of rectangular patch antenna using a Defective Ground Structure (DGS) for multiband applications. The proposed structure is designed using FR-4 substrate. On one side of the substrate, a rectangular patch is printed whereas, on the other side of the substrate, dumbbell-shaped DGS is created. The antenna is simulated using HFSS software. To verify the simulated results, a prototype of the structure is fabricated, and measurements are taken. The multiband operation is achieved by introducing DGS of dumbbell shape. The proposed design has five resonant frequencies at 2.638, 3.84, 6.72, 10.67, and 13.78 GHz. The proposed antenna can be used for multiband applications.

Yogesh Kumar Mishra, Som Pal Gangwar
Optimizing Resource Allocation of MIMO-OFDM in 4G and Beyond Systems

The blend of Multiple-Input–Multiple-Output (MIMO) and Orthogonal Frequency-Division Multiplexing (OFDM) provides a formidable air interface for fourth-generation (4G) technology. In beyond 4G standards, the derivative technologies of OFDM along with MIMO are poised to function as the air interface. The task of optimizing the performance metrics for resource allocation in MIMO-OFDM is a crucial aspect in 4G systems like Long Term Evolution (LTE) or LTE Advanced (LTE-A). As the evolution of LTE-Advanced continues and opens the vistas to fifth-generation (5G) standard, several performance metrics need to be optimized. The prime metrics which characterize a wireless system are the ergodic capacity and Spectral Efficiency (SE) of the system. There exists a precarious trade-off between SE and Energy Efficiency (EE) which needs to be judiciously balanced. Other aspects of resource optimization include enhancement of the throughput at the user end, reduction of cost per bit. This paper explores the critical aspects of potential enhancement and discusses ways to optimize resource allocation. Specific attention is given to the provisioning of the Cyclic Prefix (CP) in OFDM and use of Multiuser MIMO (MU-MIMO). Although CP is an overhead, but it is required to overcome the effects of Inter-Symbol Interference (ISI). However, adaptive CP length adjustment can improve the spectral efficiency. It is demonstrated that MU-MIMO yields a higher energy efficiency as compared to point-to-point MIMO. Therefore, MU-MIMO is ideally suited to yield a higher throughput in 4G and beyond systems.

Arun Kumar Singh, Neelam Srivastava, Saurabh Dixit
Design and Development of 2.1 GHz Horn Antenna

This paper describes the building and simulation of a 2.1 GHz horn antenna which can be widely used in wireless communication systems, electromagnetic sensing, microwave heating, and for calibration of other antennas. The antenna was designed to have the highest gain of 15 dB at 2.1 GHz although it can be used for frequency range in between 1.9 and 2.3 GHz which generally lies in L-band and S-band. This paper describes the calculations and measurement of horn antenna parameters in detail which includes waveguide parameters and flare dimensions. Using the designed value horn antenna is fabricated of thickness 2 mm. The numerical modeling was performed on CST software. The result showed that the highest gain of 15 dB is obtained at 2.1 GHz.

Indra Kumar Singh, Rajiv Kumar Singh, Radhey Lal
Full-Duplex Wireless Communication in Cognitive Radio Networks: A Survey

Since past few years, as the technology growing in the field of wireless communication with their ubiquitous application, the demand for spectrum resources is also increased. To exploit the demand for spectrum, Cognitive Radio Networks (CRNs) became the most promising solution. Many works have been done in half-duplex CRNs, where at a given time secondary user can only sense the spectrum or transmit the data. Half-duplex CRN is unable to transmit the data during sensing period. This is the major limitation of half-duplex CRN. On the other hand, full-duplex CRNs can simultaneously sense and transmit the data at a given time, which improves the throughput of system as compared to half-duplex CRNs. This property of full-duplex communication motivates for more research in field of energy efficiency, energy harvesting, and throughput maximization in CRNs. In this paper, we have presented the energy efficiency, energy harvesting, and throughput calculations with open issues and challenges of full-duplex communication in cognitive radio networks.

Ashish Kumar Rao, Rajiv Kumar Singh, Neelam Srivastava
Interaction of Electromagnetic Fields (100 KHz–300 GHz) Exposure with Respect to Human Body Model and Methods for SAR Measurement

In the present paper, near- and far-field interaction mechanism of EM field and measurement of SAR over human body model for both near- and far-field from the electromagnetic source are described. For the modeling of equivalent human body part phantom model using Maxwell equations, parameters, like complex permittivity, polarization, internal dose, skin effect, etc., are considered. These parameters make SAR measurement easier. Further, the techniques and instruments that are used to measure the SAR for both far-field and near-field region are described. Thermal and electric probes used to measure the SAR have their remarkable boundaries while dealing with the measurement of low and high power levels. It has been identified that electric probes are used for both low- and high-power transceiver, whereas thermal probe is restricted only to high-power transceiver. Further, idea about the analysis of electromagnetic fields induced in biological tissues by thermographic camera is included.

Rashid Jamal, Rajiv Kumar Singh, Ekta Singh
Noise-Induced Training for Weak Signal Detection in Neyman–Pearson Framework

Here, we propose a noise-induced neural network-based detector. The suggested method performs well in the detection of the known weak DC signal in additive to non-Gaussian noise. The precalculated noise is added in a neural network which helps in boosting the performance of the weak signal detector. This precalculated noise boosts the training process for signal detection. While training, the backpropagation (BP) algorithm acquires less error and it converges faster with the addition of the external noise. This method performs better than the traditional neural network-based detector in terms of its performance characteristics, i.e., the probability of detection ($$P_D$$) at a fixed value probability of false alarm ($$P_{FA}$$). We also test our noise-induced proposed detector under several signal-to-noise ratio (SNR) environments. The different state-of-the-art techniques have been compared with our proposed method.

Sumit Kumar, Ayush Kumar, Rajib Kumar Jha
Optimum APD Gain Evaluation of FSO System for Inter-building Laser Communication Application

This paper evaluates the optimum gain performance of avalanche photodetector (APD) for various modulation techniques under dense fog condition of visibility within 50 m in Indian subcontinent. The comparative BER performance study has been outlined for the above conditions and weak atmospheric turbulence (Log-normal). Joint effects of shot, thermal, and background noise together with pointing error are taken into consideration for evaluation of error performance. In particular, it is observed that the performance of free-space optical (FSO) system at optimum APD gain is exceptionally well and good up to standard limit. Furthermore, the capacity evaluation in fog conditions is outlined for On-Off keying (OOK), binary phase-shift keying (BPSK), differential phase-shift keying (DPSK), and binary pulse position modulation (BPPM). From the diversity of performance study, this article finally suggests the suitable modulation technique for dense fog conditions and proposes the optimum gain of APD.

Pritam Keshari Sahoo, Ajay Kumar Yadav, Y. K. Prajapati, Rajeev Tripathi
EM Analysis of RF Interaction Structures for Gyrotron Devices

In this work, an attempt is made to study the eigenvalue and dispersion characteristics of RF interaction structures to be used in gyrotron devices. Typically, a tapered structure, a vane-loaded structure, and a disc-loaded structure have been electromagnetically analyzed. The dependence of structure parameters has been observed using MATLAB simulations. For a tapered structure, tapering the RF structure results in flattening of the dispersion curve. For a vane-loaded structure, although, there is no considerable flattening in the dispersion curve, the cutoff frequency is highly dispersed, providing mode separation. In the case of disc-loaded structure, the structure parameter, viz., disc repetition has the highest impact on broadbanding the dispersion curve.

Rajiv Kumar Singh
LTE-Advanced Carrier Aggregation for Enhancement of Bandwidth

The advancement in technology and smart gadgets have led to the demand for more data and higher data rates. Despite efficient use of spectrum, it is not able to achieve the data rate of 1 Gbps as required by Long Term Evolution Advanced (LTE-A). To achieve such a high data rate, this requires a higher transmission bandwidth which cannot be achieved by a single carrier. So, a new technique is introduced called carrier aggregation to aggregate two or more component carriers to achieve higher bandwidth. The carriers that are to be aggregated can be from continuous spectrum and can also be from different bands which result in three types of carrier aggregation, i.e., intra-band contiguous, intra-band noncontiguous, and inter-band carrier aggregation. The most important feature to move from LTE to LTE-A is carrier aggregation and the main advantage of this is that it is backward compatible. As per 3GPP release it is given that up to five component carriers can be aggregated to achieve a bandwidth of 100 MHz. Thus, in this paper the carrier aggregation up to three-component carriers by taking different number of downlink resource block for each aggregated carrier and comparative study of increment in the bandwidth will be carried out and the simulation results the enhancement of bandwidth using carrier aggregation.

Akshay Goyal, Krishan Kumar

VLSI

Frontmatter
Temperature-Dependent Analog, RF, and Linearity Analysis of Junctionless Quadruple Gate MOSFETs for Analog Applications

In this paper, junctionless quadruple gate (JLQG) MOSFET is analyzed for its temperature-dependent characteristics. The junctionless MOSFET makes manufacturing simpler because it has no p-n junction as the doping of the channel is same as source/drain (S/D) region. Various performance parameters for short channel effects (SCEs), analog/RF, and linearity distortion of the JLQG MOSFET such as drain current (ID), transconductance (gm1), transconductance generation factor (TGF), output conductance (gd), early voltage (VEA), intrinsic gain (gm/gd), cut-off frequency (fT), gain frequency product (GFP), transconductance frequency product (TFP), gain trans-conductance frequency product (GTFP), second order derivative (gm2), third order derivative (gm3), second order voltage intercept point (VIP2), third order voltage intercept point (VIP3), third order input intercept point (IIP3), and third order intermodulation distortion (IMD3) with respect to temperature variations are presented and discussed. The study reveals the different zero-crossing points for temperature-dependent characteristics leading to guidelines for temperature-insensitive designs using JLQG MOSFETs.

Prateek Kishor Verma, Akash Singh Rawat, Santosh Kumar Gupta
A Hardware Minimized Gated Clock Multiple Output Low Power Linear Feedback Shift Register

As there is rapid increase in daily used battery-powered electronics equipment, and as these battery-powered equipments are able to work for a limited amount of time before requiring to recharge, there is ever increasing demand for long battery life (as run time on a full charge) that can be achieved by either increasing the battery capacity or reducing power consumption by the devices. In this paper, a switch minimized parallel LFSR with clock gating technique is proposed, and further optimization of circuit is performed by reducing number of gates (transistor) used by the circuit. Dynamic power consumption is reduced by minimizing the switching activity factor of the circuit, for which we utilize clock gating technique. Proposed circuit power consumption is compared with previous LFSR. The proposed circuit is implemented and simulated in cadence at 180 nm channel length, which verifies further reduction in power as compared to previous technique.

Digvijay Singh Mehta, Varun Mishra, Yogesh Kumar Verma, Santosh Kumar Gupta
A Novel Dual Material Extra Insulator Layer Fin Field Effect Transistor for High-Performance Nanoscale Applications

Present work introduces a Dual Material Gate Extra Insulator Layer (DMG EIL) FinFET for high-performance nanoscale applications. The Dual Material Gate screens the minimum channel potential from the drain voltage variations which reduce the short channel effects (SCEs). The EIL (high-k dielectric) injected in silicon active layer reduces the critical electric field near the drain region which reduces the hot carrier effects. The present device also offers low standby mode current useful for low power applications along with reduced SCEs and hot carrier effects (HCEs). The performance of the proposed device is compared with EIL-FinFET by investigating the output characteristics, electric field, carrier temperature and surface potential. The DMG EIL-FinFET is found to perform better compared to both—conventional FinFET and EIL-FinFET.

Prateek Kishor Verma, Varun Mishra, Yogesh Kumar Verma, Pawan K. Yadav, Santosh Kumar Gupta
Performance of Double Gate Tunnel FET Devices with Source Pocket

It is known that due to several current injection mechanisms, tunnel FETs can attain a subthreshold swing less than 60 mV/dec at normal temperature, which makes it alternative for MOSFETs, particularly in low power applications. This work investigates the electrical and analog performance of dual metal double gate hetero dielectric source pocket TFETs in contrast to dual metal double gate high-k dielectric source pocket TFETs. Numerical calculations were carried out to find the characteristics of both the devices. It is observed that for hetero-dielectric structure, the OFF current decreases significantly while having the marginal effect on the ON state current in contrast to double gate high-k dielectric structure.

Varun Mishra, Yogesh Kumar Verma, Prateek Kishor Verma, Ningthoujam Qoonand Singh, Santosh Kumar Gupta
The Parameters Affecting Graphene Conductivity for Sensor and High-Frequency Application

In this paper, we simulated the ac-conductivity equation of graphene and discussed various parameter effects on graphene conductivity in gigahertz and terahertz region for sensing and high-frequency application. We discussed the adsorb charge mechanism which creates the n-type and p-type doping in intrinsic graphene and shifts the Fermi level away from the Dirac point. We increase the carrier concentration from 1.3 * 1013 cm−2 to 2.2 * 1013 cm−2 which increased the real part of conductivity from 0.049 to 0.064 S, similarly when the relaxation time varied from 1 to 1.2 ps the real part conductivity increases from 0.049 to 0.059 S (till gigahertz frequency); this alteration is the key for sensing application. The effect of small temperature variation shows a non-distensible change in conductivity with the simulated equation although its effect and application are discussed in detail. The calculation shows dispersionless behavior of the conductivity till the gigahertz frequency. In the terahertz region, the real and imaginary part of conductivity varies with frequency which offers dynamic control of tuning the antenna for high-speed data rate at such a higher frequency where the meta-based antenna suffers.

Sharad Kumar Yadav, Richa Singh
Numerical Measurement of Oscillating Parameters of IMPATT Using Group IV and Group III–V Materials

With the help of numerical approach, we have determined the oscillating parameters of Double Drift Region (DDR) Impact Avalanche Transit Time (IMPATT) diode oscillator using different semiconducting materials at Ka band (26.5–40 GHz). The materials used are silicon, germanium, gallium arsenide, indium phosphide, and wurtzite gallium nitride. Avalanche region of IMPATT behaves as an LC parallel circuit. Therefore, inductance, capacitance, and resonant frequency are computed in the avalanche zone by taking all materials individually as substrate elements. Numerically measured inductance and capacitance profiles are in good agreement with earlier reported experimental curves.

Girish Chandra Ghivela, Prince Kumar, Joydeep Sengupta
Analysis of SRAM Cell for Low Power Operation and Its Noise Margin

In recent years improvement in the design of SRAM cell increases drastically. The two major factors which have to be taken care are power dissipation and the noise margin of the SRAM cell. The power is subdivided into two groups that are switching power and standby power. The leakage current plays an important role in the power dissipation and has to be taken care. The noise margin also categorized as read margin and write margin. The purpose of this paper is to analyze and optimize the SRAM cell operation with respect to power and also measure the noise margin. The results show the low power operation of SRAM cell with relatively improved noise margin.

Sunil Kumar Ojha, O. P. Singh, G. R. Mishra, P. R. Vaya
Fabrication of Nano-petals Zn0.97Cu0.03O Thin Film and Application in Methane Sensing

This paper presents the fabrication of nano-petals Zn0.97Cu0.03O thin film using electro-spin technique and sensing of methane. Doping of 3% Cu into ZnO was carried out by chemical route and spin coated thin film was grown on a glass substrate. Analysis of XRD, SEM, EDX and AFM characterizations were performed for surface morophology and grain sizes of nano-petals. Study of sensitivity for 1000, 1200 and 1400 ppm methane as better sensing at low operating temperature 125 °C.

Brij Bansh Nath Anchal, Preetam Singh, Ram Pyare
Study and Analysis of Low Power Dynamic Comparator

Nowadays, everything will be digital for ease of processing, but the real world is in analog. Various techniques has been used to convert the analog signal to digital, and the fastest analog-to-digital converter (ADC) is Flash type ADC among all. It requires a high-speed comparator, which compares the analog input signals and produces the digital output through a predetermined threshold voltage accordingly. However, high-speed comparator increases the transistor and hence correspondingly increases large area, supply voltage, power, etc. Also, the system with low power dissipation speeds reduction for many transistors with a high offset voltage. Therefore, designing an ADC system that requires less power with faster operation is a great concern. Diverse kinds of comparators are available in the present scenario. In this paper, it has analyzed Conventional dynamic comparator, Double tail dynamic comparator, Doubletail comparator with enhanced latch regeneration and proposed comparator. The projected comparator with enhanced latch regeneration speed is better than the previous two conventional dynamic comparators in terms of power and speed.

Ritesh Kumar Kushwaha, Prem Kumar, P. Karuppanan
Tuned Universal Filter Design Using Single Differential Difference Current Conveyor for Sub-GHz Frequency Band

In this paper, the proposed configuration is realizing High-Pass, Band-Pass, Low-Pass, and Notch filters simultaneously using a single Differential Difference Current Conveyor (DDCC) as an active block. First, the circuit is implemented using passive resistors and then, in proposed configuration, passive resistors are replaced by active resistors. Proposed configuration occupied less area, provide better noise immunity, and offer programmability at the cost of slight increase in Total Harmonic Distortion. The circuit is simulated in cadence virtuoso 180 nm technology and checked for programmability. It is found that cutoff frequency varied from 13.9 to 28.34 MHz by varying the gate voltage from 1.1 to 1.5 V of the active resistance.

Shalini Mishra, Devarshi Shukla, Vijaya Bhaduaria, Santosh Kumar Gupta
0.5 V Two-Stage Subthreshold Fully Differential Miller Compensated OTA Using Voltage Combiners

A simple high-performance architecture for low-voltage and power-efficient gate along with bulk-driven miller compensated Fully Differential Operational Transconductance Amplifier (FDOTA) for biomedical applications is presented in this paper. The proposed design is suitable for operation under sub-1 V single supply and consists of two gain stages. Voltage Combiner (VC) based pseudo-differential circuit has been used in the second stage in order to increase the DC gain. In the proposed design, all the MOSFETs are biased to operate in the subthreshold region for minimum power consumption. The OTA is implemented in the Cadence Virtuoso Environment using 180 nm standard CMOS technology under 0.5 V and consumes only 70nW power. DC gain, Unity Gain Bandwidth (UGB), and phase margin are found to be 68.0656 dB, 9.395 kHz, and 71.90425°, respectively at a capacitive load of 5 pF. The minimum input-referred noise at 10 Hz and 10 kHz frequencies is found to be 4.6161 µV/sqrtHz and 276.323 nV/sqrtHz, respectively. The OTA is simulated for different process corners and temperature variations also.

Sougata Ghosh, Vijaya Bhadauria
Current Feedback Operational Amplifier-Based Biquadratic Filter

This paper presents a current feedback operational amplifier (CFOA) based on the topology of the second-generation positive current conveyor (CCII+) and an output buffer. The CCII+ is comprised of n-input and p-input balanced current mirror load OTAs with negative feedback from X node to inverting inputs of the differential pairs. Non-inverting inputs of differential pair make Y input node. The dual-input pair with balanced load structure ensures well-matched circuit characteristic and very low-output offset. This CFOA is biased in the strong inversion region using a dual power supply of ±0.6 V with a bias current of 10 µA. It dissipates the total power of 377 µW and satisfies good CFOA characteristics up to 70 MHz frequency. The CFOA cells have been utilized to design a single input multi output (SIMO) function voltage-mode universal filter which dissipates the total power of 1.79 mW. These circuits have been simulated using cadence simulator tool in 180 nm standard n-tub bulk-CMOS process in its UMC environment.

Tripurari Sharan, Khoirom Johnson Singh, Anil Kumar Gautam
Modeling and FEM-Based Simulations of Composite Membrane Based Circular Capacitive Pressure Sensor

In Micro-electro-mechanical Systems (MEMS) based pressure sensors and acoustic devices, deflection of a membrane is utilized for pressure or sound measurements. Due to advantages of capacitive pressure sensor over piezoresistive pressure sensors (low power consumption, less sensitive to temperature drift, higher dynamic range, and high sensitivity), capacitive pressure sensors are the second largest useable MEMS-based sensors after piezoresistive pressure sensors. We present a normal capacitive pressure sensor, for continuous sensing of normal and abnormal Intraocular Pressure (IOP). The composite membrane of the sensor is made of three materials, i.e., Si, SiO2, and Si3N4. The membrane deflection, capacitance variation, mechanical sensitivity, capacitive sensitivity, and nonlinearity are discussed in this work. Mathematical modeling is performed for analytical simulation, which is also compared with Finite Element Method (FEM) simulations. MATLAB® is used for analytical simulations and CoventorWare® is used for FEM simulations. The variation in the analytical result of deflection in membrane w.r.t. FEM result is about 7.19%, and for capacitance, the variation is about 2.7% at maximum pressure of 8 kPa. The nonlinearity is about 4.2492% for the proposed sensor for fabrication using surface micro-machining process.

Rishabh Bhooshan Mishra, S. Santosh Kumar, Ravindra Mukhiya
Comparative Study on Structural and Electrical Characteristics of TiO2 Film Deposited by Plasma-Enhanced Atomic Layer Deposition and RF Sputtering

Titanium dioxide film has been deposited on the p-type (100) silicon substrate using RF magnetron sputtering and Plasma-Enhanced Atomic Layer Deposition (PEALD) techniques. The effect of deposition techniques and the post-deposition annealing on the structural and electrical properties of TiO2 film have been investigated. Multiple angle ellipsometry, X-Ray diffraction (XRD) analysis, Capacitance–Voltage (C-V), Current density–Voltage (J-V), and breakdown voltage investigations has been carried out to obtain the structural and electrical characteristics of the deposited films. XRD data illustrates the amorphous nature of the deposited film for the annealing temperature from 375 to 500 °C. Multiple angle ellipsometry results show that the PEALD and sputtered films refractive index variation from 2.0463 to 2.1348 and 2.21 to 2.75, respectively, for annealing temperature 375 to 500 °C. Electrical characteristics show the leakage current density from 10−5 to 10−6 A/cm2 and flatband voltage shift in the positive side of 2.4 V for PEALD and 0.2 V for sputter-deposited films.

Rajesh Kumar Jha, Prashant Singh, Manish Goswami, B. R. Singh
Impact of HfO2 as Passivation Layer in the Simulation of PERC-Type Solar Cell

We report the simulation of high-efficiency c-silicon Passivated Emitter Rear Contact (PERC) type solar cell structure with rear side passivated with HfO2 as a passivating material. Variation in the half-length of pyramid has been carried out to investigate its effect on the solar cell electrical characteristics such as Fill Factor (FF), Open-Circuit Voltage (Voc), and efficiency. Aluminum Back Surface Field (Al-BSF) and PERC-type solar cell with Al2O3 passivation layer structures were also modeled for comparison. Effect of variation in passivation layer (HfO2), thickness (10 and 15 nm), and permittivity (k = 14 and 25) on the solar cell electrical characteristics has been investigated. The result shows the efficiency improvement in the PERC solar cell with HfO2 passivation layer by 0.5941 and 0.983% as compared to the Al-BSF and PERC with Al2O3 passivation layer at 8 µm pyramid half-length. Increased series resistance and reduced FF has been observed with the incorporation of passivation layer at the solar cell structure. Negligible effect of passivation layer thickness has been observed on the solar cell electrical parameters whereas the permittivity value does have significant effect.

Rajesh Kumar Jha, Prashant Singh, Manish Goswami, B. R. Singh
Effect of Micro Lever Width on the Mechanical Sensitivity of a MEMS Capacitive Accelerometer

The paper presents a MEMS capacitive accelerometer using microlevers as a suspension system. The microlevers serve to amplify the output displacement of the accelerometer and hence increase the mechanical sensitivity of the device. A novel accelerometer design is presented in the paper to be used as a transducer for a totally implantable hearing application. The design considerations for surgical implantation of the accelerometer on the middle ear bone umbo are followed in selecting the dimensions of the accelerometer. The impact of the width of a micro lever on the displacement of the accelerometer is considered, and an analytical model including a correction factor is developed. The proposed accelerometer is designed and simulated in COMSOL MULTIPHYSICS 4.2. The developed analytical model is validated by comparing with the COMSOL simulation results. The mechanical sensitivity of the accelerometer with and without considering the effect of microlever width is compared. The enhanced mechanical sensitivity of 2.60 nm/g is obtained.

Apoorva Dwivedi, Prateek Asthana, Gargi Khanna
Noise and Linear Distortion Analysis of Analog/RF Performance in a Two Dimensional Dielectric Pocket Junctionless Double Gate (DP-JLDG) MOSFET to Control SCEs

In this paper, a dielectric pocket junctionless double gate (DP-JLDG) MOSFET has been proposed with an example of n-channel and with a 20 nm channel length. The characteristics of the proposed device have been compared with a junctionless double gate (JLDG) MOSFET. The proposed device offers excellent analog/RF behavior and can operate over a wide range of frequency with low power dissipation. This paper also analyses the impact of dielectric pockets on analog and digital performance of the device. Further, in this paper an exclusive effort to investigate the noise and distortion analysis of DP-JLDG MOSFET has been incorporated. The proposed device is an appropriate alternative for low power analog and digital circuits due to its higher device gain, larger operating range, and lower power dissipation.

Abhinav Gupta, Amrish Kumar, Sanjeev Rai, Rajeev Tripathi
Finite Element Modeling of a Wideband Piezoelectric Energy Harvester for Ambient Vibration Extraction

The work proposes a novel piezoelectric energy harvester (PEH) based on the seesaw mechanism. The seesaw structure has been utilized in PEH for extracting a wide range of ambient vibrations and converting them into usable electrical energy. The proposed structure operates on the first two resonant frequencies in comparison to the conventional cantilever system that works on the first resonant frequency. Eigen frequency, as well as response to a varying input vibration frequency, is carried out showing better performance of seesaw cantilever design. The harvester efficiently converts mechanical energy into electrical energy while reaching a power output of 0.55 mW with a wider band of operation.

Prateek Asthana, Apoorva Dwivedi, Gargi Khanna
Impact of Oxide Engineering on Analog/RF Performance of Doping-Less DMDG MOSFET

In order to reduce short channel effects, a dual metal concept has been employed in MOSFETs. But to eliminate the problem of a gate tunneling dual material double gate (DMDG) MOSFET is not sufficient. Hence, to overcome gate tunneling oxide engineering technique has been employed in a DMDG MOSFET. In this paper, a doping-less dual material double gate (DL-DMDG) MOSFET has been analyzed using oxide engineering technique. To induce an n-type substrate in a doping-less MOSFET, charge plasma concept has been incorporated. Using 2D ATLAS simulator various analog/RF parameters have been investigated for this device with different oxide materials. The basic purpose of this paper is to improve analog/RF performance of the device and to increase immunity to SCEs.

Abhinav Gupta, Amrish Kumar, Sanjeev Rai, Rajeev Tripathi
Phosphorene: A Worthy Alternative of Graphene and MoS2 in Surface Plasmon Resonance Sensor

In this paper, Graphene, MoS2, and Phosphorene-based surface plasmon resonance sensor are analysed numerically and theoretically. Transfer matrix method is used for the formulation of attenuated total reflection intensity. The surface plasmon resonance curves are obtained by using angular interrogation. The number of layers of Graphene, MoS2, and Phosphorene are optimized with respect to performance defining parameters; shift in resonance angle, minimum reflection intensity, and beam width of surface plasmon resonance curve. It is found that senor will have best performance for 10 layers of Graphene, 4 layers of MoS2, and 10 layers of Phosphorene. Further, at these optimized number of layers, Phosphorene has highest sensor performance in terms of Sensitivity, Resolution, and Quality factor. Hence, it is believed that Phosphorene can replace Graphene and MoS2 in surface plasmon resonance sensor.

J. B. Maurya, Alka Verma, Y. K. Prajapati
Substrate Integrated Waveguide Wideband and Ultra-Wideband Bandpass Filters Using Multimode Resonator

In this paper, a novel design technique using substrate integrated waveguide (SIW) based on multimode resonator is presented for the implementation of the dual-band bandpass, wideband bandpass and ultra-wideband bandpass filters. Filter design is started by etching four W-shaped slots on the upper conductor layer of SIW cavity in order to produce few resonant peaks in passband. W-shaped slots forming up quintuple mode resonator create wide passband while maintaining overall size of the filter to be much less. Implemented wide bandpass filter achieves an insertion loss lower than 0.8 dB, return loss better than 12 dB in the passband and 3 dB fractional bandwidth of 44%. The structure is further modified into two H-shaped slots operating as sextuple mode resonator. This design produces an ultra-wideband bandpass filter with an insertion loss smaller than 1.1 dB, return loss better than 11 dB in the passband and 3 dB fractional bandwidth of 55%.

Naveen Singh, Sandeep Kumar, Sanjay Gupta, R. K. Chauhan
Impact of Dimensional Effects on Subsurface Leakage Current of a Low-VTH Nanoscale MOSFET Under Accumulation Bias

In portable battery-operated electronics, power dissipation has become a critical parameter. The presence of various types of leakage current components in nanoscale MOSFET influences the leakage power dissipation. There is another type of leakage current present in nanoscale MOSFET which is due to VDS, the reduction of barrier height between the source and drain at distance away from the semiconductor-oxide interface is known as subsurface leakage current. The subsurface leakage current is clearly noticeable in low-threshold voltage (VTH) transistors. This paper investigates the reliability issues with dimensional parameter such as gate length (LG), source/drain junction depth (XJ), and drain-to-source voltage (VDS) on subsurface leakage current of a nanoscale MOSFET. It is observed that the subsurface leakage current is exponentially dependent on LG. Subsurface leakage current increases with XJ. Dimensional parameter dependent subsurface leakage current is extracted using ATLAS TCAD simulator.

Vadthiya Narendar, Ashutosh Kumar Pandey
Dielectric Pocket (DP) Based Channel Region of the Junction-Less Dual Material Double Gate (JLDMDG) MOSFET for Enhanced Analog/RF Performance

In this paper, Dielectric pocket (DP) based channel region of the junction-less dual material double gate (JLDMDG) MOSFET is proposed. A comparative analysis of the JLDMDG and DPDMDG on the basis of analog/RF performance parameters has been done. Dielectric pockets are introduced in the channel region of JLDMDG. It can be observed that DPDMDG MOSFET has better functional density, higher early voltage and lower trans-conductance for high amplification. It can also be compared RF performance which shows that DPDMDG MOSFET has higher gain bandwidth product, lower values of capacitances for high-speed operation and lower power dissipation. Sensitivity parameters are estimated for DPDMDG and JLDMDG and it is observed that Ion and Ioff in DPDMDG is less sensitive to variation in tsi and tox in compare with JLDMDG. The analog/RF performance has shown that the DPDMDG MOSFET has better characteristics in low-power design and high-frequency applications.

Amrish Kumar, Abhinav Gupta, Sanjeev Rai
Study and Performance Analysis of Carbon Nanotubes (CNTs) as a Global VLSI Interconnects

This research works are primarily focused on the modeling approach of Carbon Nanotubes (CNTs). Based on the number of conducting channels and different number of shells and their arrangements in bundles an Equivalent Single Conductor (ESC) transmission line model proposed to analyze the effect of power dissipation and propagation delay. Driver Interconnect Load (DIL) system is used to analyze the power dissipation and propagation delay performances. A SPICE simulation is used to compare the performance of bundle Multiwall Carbon Nanotubes (MWCNTs) as compare to conventional bundle Single Wall Carbon Nanotubes (SWCNTs) interconnect. After the performance analysis it is observed that power dissipation and propagation delay increase with the length of interconnects, but the power dissipation and propagation delay decreases for bundle of MWCNT as compare to the conventional bundle SWCNT.

Kavindra Kumar Kavi
Parasitic Series Resistance for 4H-SiC and Diamond-Based IMPATT Diode at Ku Band

In this work, parasitic series resistance (Rs) has been computed for IMPATT using 4H-SiC and diamond at Ku band. Rs is calculated from the conductance- susceptance profile of the IMPATT. Rs of 4H-SiC was found to be less than diamond at the corresponding frequency. As 4H-SiC is having lesser Rs value than diamond, it can deliver more power to the output RF circuit as compared to diamond-based IMPATT diode.

Bhupendra Jothe, Girish Chandra Ghivela, Joydeep Sengupta
Design and Analysis of Self-biased OTA for Low-Power Applications

This paper presents an operational-transconductance-amplifier (OTA) for ultra-low power applications with high CMRR (common mode rejection ratio) and PSRR (power supply rejection ratio). The proposed OTA is a three-stage design. In order to attain the lower supply voltage and high CMRR, a bulk-driven differential pair with the tail current source has been considered as the first stage. The current mirror biasing technique makes sure that all the transistors operate in subthreshold region. A common source amplifier has been opted with current mirror as a load in second stage. At last, common source inverting amplifier is third stage of the designed OTA. The circuit has been designed and synthesized using cadence virtuoso simulator in 180 nm CMOS technology. It has been found that these stages are helpful in achieving high low-frequency gain. Hence, CMRR and PSRR also increase in significant amount. The results describe that the proposed design offers low-frequency gain of 58 dB with CMRR of 72 dB and PSRR of 56 dB for a supply voltage ($$ V_{DD} $$) of 0.5 V. The proposed OTA provides the power dissipation of 1.8 µW at $$ V_{DD} $$ = 0.5 V. Also, the low-frequency gain of 57 dB, CMRR of 70 dB and PSRR of 55 dB with a power dissipation of 2.5 µW have been measured at $$ V_{DD} $$ = 0.6 V.

G. Manikanta, R. A. Mishra, N. A. Srivastava, R. K. Jaiswal
Work Function Estimation of Copper-Doped ZnO Thin Film

In this article we report Copper-doped ZnO (CZO) based heterojunction diodes. The CZO thin film (p-type) has been grown over a n and p-Si substrate using sol-gelspin coating method. X-ray diffraction study spectacles that the deposited thin film show preferential grains orientation along the direction (101). The optical bandgap obtained using ellipsometer is 3.14 eV. The p-type conductivity of the CZO films has been established by hot point probe method. The electrical properties of prepared layers have been determined from the current vs voltage graph derived from semiconductor device analyzer. Finally, the work function of CZO layer has been estimated from the electrical parameter obtained from I–V calculations.

Lucky Agarwal, Brijesh Kumar Singh, Shweta Tripathi, P. Chakrabarti
Refractive Index and Dielectric Constant Evaluation of RF Sputtered Few Layer MoS2 Thin Film

In this paper thin film of MoS2 has been deposited on pSi substrate at different time by RF sputtering method, X-ray diffraction pattern shows crystalline growth. Different optical parameters like refractive index, extinction coefficient and dielectric constant have been analyzed. It has been observed that the estimated optical parameters show the thickness depended behavior. Surface analysis has been done using FESEM that agrees with obtained optical parameters. All the optical parameters have been calculated using VASE Ellipsometer.

Richa Singh, Shweta Tripathi
Design and Optimization of MEMS Piezoelectric Cantilever for Vibration Energy Harvesting Application

This paper presents a reverse trapezoidal unimorph piezoelectric cantilever structure for frequency tuning and power optimization. A proof mass is incorporated at the upper side of the free end of the cantilever. The proposed cantilever structure with nonconventional geometry finds its application in vibration energy harvesting. The design is simulated with COMSOL Multiphysics 5.2 to convert mechanical energy into electrical energy. The proposed harvester is able to generate 1300 $$\upmu $$m displacement, 0.46V induced voltage, and 9 $$\upmu $$W induced electrical peak power at the low frequency of about 160 Hz at applied 1 g acceleration and 12 k$$\Omega $$ optimal load resistance.

Namrata Gupta, Abhishek Ray, Alok Naugarhiya, Abhinav Gupta
Analyzing the Sensitivity of Heterostructure of BP-Graphene/TMDC Layer Coated SPR Biosensor

In this paper, the heterostructure of Au-BP-Graphene/TMDC layers coated SPR sensor is proposed for sensing of biomolecules. The proposed sensor is compared with conventional SPR and graphene based SPR biosensor in terms of sensitivity. Angular interrogation method is used for analyzing the sensitivity of proposed SPR biosensor at 633 nm operation wavelength. The sensitivity obtained for conventional SPR, Au-Graphene SPR and Au-BP-Graphene based SPR biosensors are 75.43°/RIU, 76.29°/RIU and 108.85°/RIU respectively. Highest sensitivity of 153.21°/RIU is obtained for two layer of WS2 for heterostructure of Au-BP/TMDC-based SPR biosensor. The sensitivity increases for higher number of BP layers due to extraordinary sensing ability of BP. It is also observed that sensitivity increases with sensing layer RI due to better binding of biomolecules on sensor surface.

Sarika Pal, Y. K. Prajapati, J. P. Saini
Short Channel Effects (SCEs) Based Comparative Study of Double-Gate (DG) and Gate-All-Around (GAA) FinFET Structures for Nanoscale Applications

The FinFET device architecture is a leading contender in the field of electronic integrated circuits (ICs). A comparative study of double-gate (DG) and gate-all-around (GAA) FinFET structures on the ground of performance parameters like on-state current (ION), off-current (IOFF), subthreshold swing (SS), drain induced barrier lowering (DIBL) and threshold voltage (Vt) have been done. It has been found that GAA FinFETs are able to control the short channel effects (SCEs) more accurately over DG FinFETs when fin width to gate length ratio is properly optimized. Further, the comparison between rectangular and cylindrical channel GAA FinFETs has also done and found that cylindrical GAA FinFETs gives a better performance with respect to aforementioned parameters. All the numerical simulated results were performed on TCAD supported the stated findings.

Vadthiya Narendar, Richa Parihar, Ashutosh Kumar Pandey
Cross-Coupled Bulk-Degenerated OTA Using Source Follower Auxiliary Pair to Improve Linearity

In this paper, linearity is improved by using a source follower auxiliary pair in a source-degenerated cross-coupled bulk-degenerated OTA. This technique uses auxiliary source follower differential amplifier to drive the bulk terminals of the main differential pair. The proposed OTA as well as the conventional source-degenerated OTA are simulated in Cadence Virtuoso Tool using UMC 0.18 µm CMOS technology having a power supply voltage of 1.8 V. The proposed OTA shows 22 dB improvement in HD3 and THD as compared to conventional source-degenerated OTA at the cost of lower transconductance and increased power consumption.

Tanmay Dubey, Ravi Shankar, Vijaya Bhadauria
Highly Linear Source-Degenerated OTA with Floating Gate Auxiliary Differential Pair

This paper presents a linear operational transconductance amplifier (OTA) using two signal attenuation techniques (floating gate MOSFET along with nonlinear attenuator) and source degeneration technique to achieve the large input differential voltage range for which linear voltage-to-current conversion is obtained. The proposed linear OTA is simulated on Cadence Virtuoso environment with UMC 0.18 μm CMOS process technology having power supply of 1.8 V. The proposed OTA gives transconductance (Gm) of 70 µA/V when biased with 100 μA current and the third-order harmonic distortion (HD3) is found to be −79 dB for a 400 mVpp input signal at 10 MHz.

Tanmay Dubey, Anurag Kumar, Vijaya Bhadauria
Application of Petri Net Model in High-Level Scheduling Algorithm

Various perspectives of hardware design in VLSI can be easily understood with the help of Gajski Y-Chart. In High-Level Synthesis, Control and Data Flow Graphs are used to demonstrate the behavior of hardware on the basis of HDL and its implementation, it is observed that there are very few tools on which such design can be made and even then it is not possible to visualize the dynamic behavior of the system in its pre-processing stage. Unlike Control and Data Flow Graphs, in this research a foundation to link and merging of High-level Synthesis Data Flow Graph to Petri-Nets has been made. Various affirmative conclusions have been reached. Here an effort is made to map Control and Data Flow Graph to Petri-Nets.

Atul Kumar Srivastava, Siddharth Tiwari, Shubhanker Banerjee
Design of Full Adder with Self-checking Capability Using Quantum Dot Cellular Automata

Conventional CMOS Technology is losing its efficiency to fulfil the needs of this technically super advanced era in which we need devices with lesser power, lesser area and higher speed than ever. CMOS will reach its limitation due to concepts of fundamental physics. If we further decrease the size of transistor, effects of quantum physics will come in picture and limit further miniaturization of device size. Now designers are shifting towards an emerging technology based on Polarization of Electrons that is Quantum Dot Cellular Automata. QCA gives efficient results in area reduction and power reduction to ultra-low level. How Design of Parity-preserving Reversible Gate is optimized than this Gate is used to make Full Adder is explained in the paper. In transmission of digital data the process of error detection becomes decisive. In this gate input parity is always equal to output parity so no extra testing circuitry is needed. The Proposed PPRG requires 8.77% lesser cell count and 4.54% lesser area and proposed Full Adder has 6.29% lesser cell count and 4.90% lesser area when compared to existing design

Shahneela Jamal Kidwai, Divya Tripathi, Subodh Wairya
A Novel Approach for Reversible Realization of 4 × 4 Bit Vedic Multiplier Circuit

The availability of fast and efficient processing systems is the basic requirement of current era. In digital systems, multiplications is one of the major operations, which limit the speed and efficiency of the system. This paper describes a novel approach for the Reversible realization of 4-Bit Vedic multiplier circuit with optimized performance parameters. Vedic multipliers are based on the concept of Vedic mathematics. It is a very fast multiplier, as it generates all the partial products and their sum in single step only. Moreover, designing of this multiplier using reversible approach will lead to the low loss fast multiplier circuits for digital systems. Some parameters indicating performance of the circuit, such as number of gates (TG), constant inputs (CI), garbage outputs (GO) and quantum cost (QC) of proposed multiplier design is also compared and analyzed with the earlier designs.

Vandana Shukla, O. P. Singh, G. R. Mishra, R. K. Tiwari
Design and Implementation of 32-bit MIPS-Based RISC Processor

With the continuously technology scaling, there exists a huge scope of undesirable hazards in processors. To solve the hazards, additional circuits are required in addition to conventional design and due to these additional circuits, the parameters area, power, and timing have been affected. Therefore, to make a processor having more number of operations without much affecting these parameters is a quite challenging task. In this paper, design and verification of 32-bit RISC CPU using 90 nm SCL CMOS technology is presented in detail. MIPS-based RISC architecture having operations like addition, subtraction, etc. Also having pipeline stages of five named as IF (Instruction Fetch), ID (Instruction Decode), EXE (Execute), MEM (Memory Access), WB (Write Back) to increase the throughput of the processor without degrading its latency. In this paper, all existing instructions as well as the new instructions, multiplication, and division are functionally verified. The analysis of performance parameters like area and power dissipation is done using synopsys design compiler with typical libraries of TSMC 90 nm technology.

Sumit Patra, Sunil Kumar, Swati Verma, Arvind Kumar

Signal Processing

Frontmatter
Image Compression Using Hybrid Approach and Adaptive Scanning for Color Images

This paper aims at the design of an algorithm for image compression. For color images RGB components are extracted and converted to YCbCr as a preprocessing step and then block based Hybrid Transform is performed. After this preprocessing step image is quantized and projected lossless scanning is done to ensure the excellent quality of the recovered image. In this paper adaptive scanning approach is anticipated to compress the length of codeword to be transmitted and thus achieving higher compression ratios. Principle behind image compression is to reduce the number of bits required per pixel.

Ayush Kumar Nigam, Priyank Khare, Vinay Kumar Srivastava
MR Image Denoising Using Adaptive Wavelet Soft Thresholding

Medical imaging has played an important role in medical disease detection, diagnosis, and research related findings and therapy. Removal of noise in a medical image is mandatory step for quality assessment. This paper presents a feature preserved Magnetic Resonance (MR) denoising algorithm which is based on well-accepted multiresolution and statistical modeling. An adaptive wavelet soft thresholding method is designed to remove Gaussian noise from MR image. Orthogonality property of the wavelet transform is used to find the noise variance, using a Median Absolute Deviation (MAD) estimator. Distribution parameters of the wavelet coefficients are estimated by modeling the coefficients using a Normal Inverse Gaussian (NIG) probability density function (PDF). The threshold value is calculated considering the noise and signal information and the wavelet coefficients are updated accordingly. Estimation and analysis of the performance of the proposed method is performed using peak signal-to-noise ratio (PSNR) and structural similarity (SSIM) performance evaluation parameters; these parameters demonstrate the noise suppression ability of the proposed method. Superiority of the proposed denoising method is further established through visual inspection of the denoised images obtained from proposed as well as other contemporary reported methods.

Sima Sahu, Harsh Vikram Singh, Amit Kumar Singh, Basant Kumar
A Brief Survey on Hardware Realization of Two-Dimensional Adaptive Filters

The efficient recognition of hardware of two-dimensional (2-D) adaptive filters is an immense problem of present state of art. The concept of the adaptive filter is given by Widrow in the decade of sixty and the mathematical expression of 2-D adaptive filters is introduced by Hadhoud in the decade of ninety. Further, several researchers give the different type of adaptive algorithms for the hardware realization of 2-D adaptive filters. The least mean square (LMS) algorithms are too renowned due to its accomplished convergence properties and simplicity to implement in hardware. In this paper, we present a concise compendium of the efficient hardware structure of 2-D adaptive filters.

Prabhat Chandra Shrivastava, Prashant Kumar, Manish Tiwari, Amit Dhawan
A Survey on Control Techniques

The stability investigation of 2-D discrete systems has been one of the most explored areas for the researchers due to its wide variety of practical and diversified applications. The 2-D discrete systems stability analysis using $$ H_{\infty } $$ control technique has always been an active field of research. The $$ H_{\infty } $$ control techniques play a vital role in the analysis and design work of control and signal processing systems. A detailed survey report of 2-D discrete systems has been presented on the stability analysis using $$ H_{\infty } $$ control techniques.

Abhay Vidyarthi, Manish Tiwari
An Efficient High-Speed CORDIC Algorithm Using Parallel-Prefix Adders (PPA)

Delay and Area ceases the actual potential of the modern gadgets. Although, human has sophisticated devices around him yet yearns to save time and space. So, this paper centers on the highly efficient CORDIC algorithm, known for its low-cost implementation in DSP algorithms. In an effort, to improve the algorithm further in terms of area and speed, comparative analysis has been done by replacing Ripple carry adder with Parallel-Prefix adders, namely, Brent-Kung adder, Han-Carlson adder and Kogge-Stone Adder. The algorithm was designed in VHDL using XILINX ISE 14.7 design suite and implemented in XILINX Spartan 6e FPGA. Obviously, Parallel-Prefix adders have shown improved performance.

Vutukuri Venkatesh, Balaji Yeswanth, Repala Akhil, Ravi Kumar Jatoth
Stockwell Transform Based Time-Frequency Analysis of the ECG Features for Assessment of Risk of Left Ventricular Hypertrophy in Hypertension Patients

Hypertension is a major disease that affects millions of people world-wide. If hypertension remains untreated for long time it could give rise to an enlarged heart condition called Left Ventricular Hypertrophy (LVH). However, recent research in medical domain proposes that left ventricular diastolic dysfunction (LVDD) may be considered as a preceding indicator of LVH. The analysis of ECG signal has shown significant results in identifying LVDD condition that can lead to LVH, however the analysis method till date have remain limited to the manual examination of time domain features of ECG parameters by the experts which is time consuming and cumbersome. Since the time-frequency analysis of the ECG signal have shown more promising results in diagnosis of any abnormality related to the cardiac system, therefore the application of this method is employed in the patients suffering from hypertension and for assessment of the future risk(s) in developing LVH. In the study, we have proposed a Stockwell Transform based time-frequency analysis method of the ECG features (QRS Complex, P-Wave and T-Wave) for accessing the preceding stage of the myocardial remodeling phase. To perform the study, ECG features of the 60 subjects recorded from hospital comprising of 30 controlled and 30 hypertension cases were studied. At the location of the QRS interval a spreading in the power is observed in diseased patients, which signifies increased ventricular activation time, also the power level of the P-Wave and T-Wave have shown significant changes. Increased Ventricular activation time and P-Wave dispersion observed in the frequency domain along with P-wave terminal force, can be used as an indicator of associated risk of developing Left Ventricular Hypertrophy.

Raghuvendra Pratap Tripathi, Ankita Tiwari, Sristi Jha, Rohini Srivastava, Nitin Sahai, Sudip Paul, Basant Kumar, T. K. Sinha, Dinesh Bhatia
Secure Image Restoration and Contrast Enhancement Using Wavelet Transform and Thresholding Technique

The paper represents a methodology which involves the use of Satellite images taken from Satellite Imaging Corporation and Google Earth. This technique proposes a satellite image enhancement system in two steps which consists of restoration and contrast enhancement. The work represents a methodology for enhancement of Satellite images using wavelet transform such as Discrete wavelet transform (DWT) and Stationary wavelet transform (SWT), thresholding and contrast enhancement using equalization based on absolute difference. The result of the evaluation indicates that the technique obtains good PSNR value of satellite images. The results also strengthen that the technique fares well in preserving the information content of the satellite images and also improve the visual quality of images.

Kumari Suniti Singh, Yogesh Kumar Mishra, Harsh Vikram Singh
An Efficient Image Watermarking Technique Based on IWT-DCT-SVD

Confidentiality of multimedia data such as image must be protected from illicit users. Thus, a novel image watermarking technique using Integer Wavelet Transform (IWT), Discrete Cosine Transform (DCT), and Singular Value Decomposition (SVD) is proposed in this paper. LL (low-low) subband is selected after applying one-level IWT on host image, which is further processed with DCT. Embedding of watermark is performed directly into Singular Values (SVs) of transformed image. Robustness of proposed technique is comprehensively determined under various set of attacks such as scaling and histogram equalization. The comparative study is also done among proposed technique and other prevailing techniques and experimental results clearly indicate effectiveness of this proposed method.

Priyank Khare, Vinay Kumar Srivastava
Sparse Matrix Completion for Effective Recommendation System

In this era of information retrieval, the revenue of the e-commerce system mainly rely upon how intelligently information is being processed and decision are being made. In this paper, the problem of unavailability of complete information is targeted for information processing and decision-making in e-commerce domain. The existing system which works on a similar phenomenon is known as recommendation system which becomes the most evolving subject in the area of electronically operated markets. However, the decision-making capability and suggestive nature of these techniques have improved the overall output of the electronic market, but lacking behind where sufficient information is not available. In literature, most of the existing schemes are designed using collaborative filtering and content-based recommendations with KNN and K-means. But, huge increment in the number of online users and their varied pattern of purchasing goods increase the sparsity in information matrix due to which neighbor selection is getting more problematic. The proposed recommended system embeds the collaborative filtering method to complete the obtained incomplete matrix which lifts the aforementioned problem of high sparsity in data. The proposed scheme is verified and validated over different datasets and achieve significant results over existing schemes.

Vivek Kumar Singh, Anubhav Shivhare, Manish Kumar
Realization of Efficient Architectures for Digital Filters: A Survey

Digital filters are considered as one of the most important parts of signal processing. Due to lots of advancements in VLSI designs, the dedicated hardware design for the 2-D digital filters snatched the attention of researchers. This paper briefly discusses the challenges of 2-D digital filter’s architecture designs and presents the review on suggested methodologies and efficient architectures for 2-D digital filters. A discussion on architectures for separable 2-D digital filters is also presented. In many applications, the frequency response of digital filters poses certain symmetries and due to that, the dedicated architectures require a lesser number of multipliers. So, the suggested architectures for these filters are discussed in this paper. Furthermore, a short review of architectures for 2-D block processing is also given. Moreover, this paper also presents the comparative analysis of hardware and time complexities of all suggested architectures..

Prashant Kumar, Prabhat Chandra Shrivastava, Manish Tiwari, Amit Dhawan
New LMI Criteria to the Global Asymptotic Stability of Uncertain Discrete-Time Systems with Time Delay and Generalized Overflow Nonlinearities

This paper investigates the problem of stability analysis of discrete-time systems under the effect of generalized overflow nonlinearities, parameter uncertainties, and time delay. The systems under assumption involve norm-bounded parameter uncertainties. Two stability criteria based on Linear Matrix Inequality (LMI) approach are presented. The usefulness of the presented criteria is numerically proved.

Pushpendra Kumar Gupta, V. Krishna Rao Kandanvli
Improved DWT-SVD-Based Medical Image Watermarking Through Hamming Code and Chaotic Encryption

This paper presents an efficient watermarking technique to enhance the performance of DWT-SVD-based approach. The method uses well-known error-correcting code (ECC) and chaotic encryption to reduce the channel noise distortion and improve the security of the technique, respectively. In the proposed method, the cover image is transformed by DWT and the sub-bands are selected for embedding the watermarks. Subsequently, the selected sub-bands are further transformed by SVD. The more robust watermark “patient report” and less robust watermark “patient medical image” is embedded into singular values of the selected DWT sub-bands. The use of transform domain techniques along with hamming code ensures that the approach offers more robustness and reliability. Inclusion of chaos-based encryption carries twofold benefits such as obscuring the watermarked content and strengthening the overall security of the projected scheme. The proposed technique offers a significant value of peak signal-to-noise ratio (PSNR), normalized correlation (NC), bit error rate (BER), number of pixel change rate (NPCR), and unified average changing intensity (UCAI) in presence of major attacks. The performance comparisons of our technique with a similar approach show that the proposed technique is superior for nine considered attacks.

S. Thakur, A. K. Singh, Basant Kumar, S. P. Ghrera
Dynamically Tuned PIDD2 Controller for Single-Link Flexible Manipulator

This paper proposes a modified optimal PIDD2 controller for flexible-link manipulator. The single flexible link is modeled mathematically in which the flexible link and base rotation are modeled as stiff systems using Lagrange’s method. The system obtained as a result will have one degree of freedom. In the proposed work, the comparison of two types of controller, i.e., PID and PIDD2, is done for controlling the position and trajectory of the single-link manipulator. The main objective is to control the trajectory with minimum tip oscillation. The tuning of the controllers is done using the Ziegler–Nichols (Z-N) method and Dynamic Particle Swarm Optimization (DPSO) algorithm. The dynamic particle swarm optimization algorithm is an improved version of the particle swarm optimization algorithm which identifies and eliminates the dilemma of stagnation and local optima. The findings show that the PIDD2 controller with dynamically tuned parameters is better in controlling the position and trajectory of the single-link manipulator. All the simulations were performed on MATLAB–SIMULINK.

Komal Agrawal, Richa Negi, Nitin Singh
Design of an Optimal Microstrip Butterworth Low-Pass Filter Using Colliding Bodies Optimization

A new methodology to design a microwave filter is discussed. The filter designed is a Butterworth low-pass filter of order five which is obtained in digital domain using chain scattering parameters for serial transmission lines and a shunt-connected open-circuited stub. Colliding Bodies Optimization (CBO) algorithm is used for approximating the magnitude response of desired transfer function with the ideal one. Superiority of the results obtained from CBO in comparison to some widely used optimization algorithms, viz., real-coded genetic algorithm, gravitation search algorithm, and particle swarm optimization is shown. It is seen that CBO outperforms the other algorithms in terms of magnitude error parameters with passband and stopband error values of −43.62 dB and −48.205 dB, respectively. Afterward, the obtained configuration is then simulated on ADS using the substrate Rogers RO3003 having permittivity value of 3 and 0.75 mm thickness. The microstrip Butterworth low-pass filter is found to have 3.4 GHz cutoff frequency which is capable of covering various microwave applications.

Mridul Gupta, Mayank Kansal, Shriram Thyagarajan, Prajjwal Singh Chauhan, D. K. Upadhyay
Short-Term Load Forecasting Using Hybrid ARIMA and Artificial Neural Network Model

Load forecasting is basic for building up a power supply strategy to enhance the reliability of the power line and gives optimal load scheduling to numerous developing nations where the demand can be expanded with high development rate. Short-Term Electric Load Forecast (STLF) is very important because it can be used to preserve optimum behaviour in daily operations of electrical system. For this purpose, Autoregressive Integrated Moving Average Model (ARIMA) is utilised which is a linear prediction procedure. Neural networks have capability to model complex and nonlinear relationship. The aim of this paper is to explain how neural network is able to change linear ARIMA model to create short-term load forecasts. The hybrid methodology, combining ARIMA and ANN model, will purposely take advantages of the unique power of ARIMA and ANN models in linear and nonlinear domains, respectively.

Rahul Singhal, Niraj Kumar Choudhary, Nitin Singh
Enhanced XOR-Based Progressive Visual Secret Sharing Using Multiple Decryptions

This paper proposes XOR-based visual secret sharing rule using random grids with the abilities of OR as well as XOR-based visual cryptographic schemes. This scheme is different from previously proposed schemes as this paper proposes a lossless (k, n) threshold-based vcs with progressive recovery having advantages of multiple decryptions. Although previously proposed schemes were progressive with OR operator but in case of XOR their progressive nature was lost when the number of shares “t” is between “k” and “n”, i.e., k < t < n. Our scheme overcomes this problem by proposing a truly progressive scheme, completely independent of the values of “k” and “n.” In absence of a processing device the secret information can be simply reconstructed by OR-ing a threshold number of shares and in case, if a device having computational ability of XOR is available, then the secret information encoded is reconstructed lossless for (k, n) threshold, when all the “n” shares are collected. There is no pixel expansion, no requirement of codebook design and hence all the shares were generated of the same size of the original secret image.

Vishal Singh Sachan, Mainejar Yadav, Ranvijay
EEG Seizure Detection from Compressive Measurements

Electroencephalogram (EEG) signal is a measure of electrical activity across the brain. For patients suffering from brain disorders like epilepsy, coma, sleep disorders, etc., this electrical activity is continuously monitored. For this, a minimum of 21 electrodes are required, which are placed across the scalp. These electrodes generate a lot of data to be processed for diagnosing the brain disease. Compressive sensing (CS), which is a newer sensing modality, has proved itself to be a better candidate for handling large amount of data to be as compared to the traditional sampling mechanism. CS generates far fewer samples than that suggested by Nyquist rate and still allows faithful reconstruction. The CS reconstruction employs complex nonlinear methods, which are very costly. Compressed signal processing (CSP), which is an advancement over CS, gives a direction to solve certain signal processing tasks from compressive measurements itself, without the need for reconstructing the original signal at all. In this paper, CSP has been used for detecting the presence or absence of epileptic seizure in the EEG signal. For this purpose, a feature extraction method is proposed for extracting the features from compressed EEG measurements. The performance of proposed method has been found to be surprisingly effective in this regard. All the experiments are done on the EEG database taken from physionet CHB-MIT using MATLAB.

Meenu Rani, S. B. Dhok, R. B. Deshmukh
A Medical Diagnostic Information System with Computing with Words Using Hesitant Fuzzy Sets

Handling uncertainty in a medical diagnostic information system is a challenging and difficult problem. The recent Hesitant Fuzzy set has been introduced to control hesitant situations in which experts have hesitated about their opinion for rating a state of the system. Most of the diagnostics is discussed in a quantitative setting. But in some situations, it is difficult for rating quantitatively and calculation complexity is higher than the qualitative setting. In this article, to overcome such difficulty, we have used the Hesitant Fuzzy Linguistic Approach to design this diagnostic information system.

Rajkrishna Mondal, Akshay Verma, Pushpendra Kumar Gupta
Noise Cancelation Using Adaptive Filter

Adaptive filtering creates one of the core technologies in the field of the digital signal processing and finds various applications in the area of science and technology, viz., adaptive noise cancelation, echo cancelation, channel equalization, bio-medical signal processing, etc. The principal objective of the noise cancelation is based on elimination of noise from audio as well as ECG (Electrocardiogram) signals. In this paper, an adaptive ECG filter is introduced to reduce the noise originated by body artifacts and exterior systems. The type of noises include interference caused by power line, interference caused by other electronic equipment, noise from electrode contact, and removing of movement of patient by adaptive filter to produce best results.

Akhilesh Kumar Ravat, Amit Dhawan, Manish Tiwari
Abrupt Scene Change Detection Using Spatiotemporal Regularity of Video Cube

In this paper, we propose the detection method of abrupt scene change using spatial as well as spatiotemporal frames of video cube. Most of the methods use either intensity or motion of pixels for the scene change detection methodology. Unlike to the existing methods, both the intensity and flow vector of video frames are used simultaneously in this paper to propose a general abrupt scene change detection method. For a spatial frame, flow energy function is used for detection. Flow energy function, defined by the spatiotemporal regularity flow model, is the combinatorial form of intensity and flow vectors of the frames. In the spatio-temporal frames, abrupt scene change appears as a vertical line which is detected by the edge detection method. Combined results of spatial and the spatio-temporal frames provide the location of scene change. The proposed method detects almost all the locations of scene change with negligible false detection.

Rupesh Kumar, Sonali Ray, Meenakshi Sharma, Basant Kumar
A Novel Approach for Compensation of Light Variation Effects with KELM Classification for Efficient Face Recognition

A novel technique for compensating the effect of light variations is proposed here for robust person identification using human face images. The proposed technique is adaptive and efficient for face recognition under varying illuminations generated due to light incident from different angles. Illumination variation’s effect is due to varying lighting conditions which are smoothly changing in nature. Therefore, illumination normalization is performed over some of the low-frequency discrete cosine transform (DCT) coefficients depending upon the illumination variations in the face image. A fuzzy modifier has been used to suppress the illumination variations on these low-frequency DCT coefficients. The number of low-frequency DCT coefficients is computed adaptively based upon the magnitude of these coefficients. The proposed approach utilizes KELM for the recognition of normalized face images and is tested over Extended YALE B face database. The experimental results clearly reveal that the proposed approach is significantly better than the existing approaches of illumination normalization for face recognition. With the proposed approach, the percentage error rate of 0%, 0.75%, and 1.11% on Subset 3, 4, and 5 of this database have been achieved, respectively.

Virendra P. Vishwakarma, Sahil Dalal
A Hybridization of Fuzzy Logic and Deterministic Learning Machine for Face Recognition

In this chapter, a new method for face recognition (FR) is proposed by integrating fuzzy logic in deterministic learning machine called fuzzy deterministic learning machine (FDLM). The main steps of the proposed approach are the fuzzification and classification step. The fuzzification step is done using π-membership function (MF) to map the grades of association of each input feature to each subject in a fuzzy matrix form and classification is done with the help of fast learning, parameter-free deterministic learning machine. To show the efficacy and superiority of the proposed approach, we have conducted a comparison analysis of the proposed approach with other methods available in the literature on Georgia Tech face database. Experimental results obtained reveal that the proposed approach shows a significant improvement in recognition performance for FR.

Virendra P. Vishwakarma, Sudesh Yadav
Metadaten
Titel
Advances in VLSI, Communication, and Signal Processing
herausgegeben von
Dr. Debashis Dutta
Prof. Haranath Kar
Prof. Chiranjeev Kumar
Prof. Vijaya Bhadauria
Copyright-Jahr
2020
Verlag
Springer Singapore
Electronic ISBN
978-981-329-775-3
Print ISBN
978-981-329-774-6
DOI
https://doi.org/10.1007/978-981-32-9775-3

Neuer Inhalt