Skip to main content
Erschienen in: The Journal of Supercomputing 1/2014

01.01.2014

An analytical method for reliability aware instruction set extension

verfasst von: Ali Azarpeyvand, Mostafa E. Salehi, Sied Mehdi Fakhraie

Erschienen in: The Journal of Supercomputing | Ausgabe 1/2014

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Random variations and low reliability of nanometer new silicons are the most important concerns for the fault-tolerant design of large-area powerful integrated circuits. Logic faults in terms of soft errors or transient faults are now serious problems for embedded processing cores. Recently, augmenting an embedded processor with application specific custom instructions is widely used for improving the performance of a processor. Although area, power, and performance of an augmented processor have been considered for efficient custom instruction selection, its reliability consideration is much needed. This is impeding because this action needs exhaustive fault injection and lengthy and expensive simulations. This demand becomes more serious in the case of many-core, larger area and, therefore, more fault-prone integrated circuits, e.g., tera-computing processors. In this work, we propose an analytical modeling solution for such a demanding problem. First, a simple analytical method is introduced that can evaluate the vulnerability of a custom instruction in a time-saving manner. Using this method and our configurable custom instruction vulnerability analysis framework, the effects of type, order, and word length of various operations of different custom instruction subgraphs on the vulnerability of an extensible processor have been explored analytically and experimentally. Based on our results, for example, replacing orders of operators in custom functional units could yield different vulnerabilities to soft errors. Therefore, our approach enables designers to optionally constrain the operand types and also the custom functional unit structures to reach an acceptable vulnerability level at low computational and design time costs.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Asadi G, Tahoori M (2005) An analytical approach for soft error rate estimation in digital circuits. In: IEEE International Symposium on Circuits and Systems, ISCAS 2005, vol 3, pp 2991–2994. doi:10.1109/ISCAS.2005.1465256 CrossRef Asadi G, Tahoori M (2005) An analytical approach for soft error rate estimation in digital circuits. In: IEEE International Symposium on Circuits and Systems, ISCAS 2005, vol 3, pp 2991–2994. doi:10.​1109/​ISCAS.​2005.​1465256 CrossRef
2.
4.
Zurück zum Zitat Azarpeyvand A, Salehi M, Fakhraie S (2012) Civa: custom instruction vulnerability analysis framework. In: IEEE 15th international symposium on Design and Diagnostics of Electronic Circuits Systems (DDECS), pp 318–323. doi:10.1109/DDECS.2012.6219081 Azarpeyvand A, Salehi M, Fakhraie S (2012) Civa: custom instruction vulnerability analysis framework. In: IEEE 15th international symposium on Design and Diagnostics of Electronic Circuits Systems (DDECS), pp 318–323. doi:10.​1109/​DDECS.​2012.​6219081
5.
Zurück zum Zitat Azarpeyvand A, Salehi M, Fakhraie S (2012) Vulnerability analysis for custom instructions. In: 15th euromicro conference on Digital System Design (DSD), pp 144–147. doi:10.1109/DSD.2012.139 Azarpeyvand A, Salehi M, Fakhraie S (2012) Vulnerability analysis for custom instructions. In: 15th euromicro conference on Digital System Design (DSD), pp 144–147. doi:10.​1109/​DSD.​2012.​139
6.
Zurück zum Zitat Azarpeyvand A, Salehi M, Firouzi F, Yazdanbakhsh A, Fakhraie SM (2010) Instruction reliability analysis for embedded processors. In: IEEE 13th international symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), pp 20–23. doi:10.1109/DDECS.2010.5491824 CrossRef Azarpeyvand A, Salehi M, Firouzi F, Yazdanbakhsh A, Fakhraie SM (2010) Instruction reliability analysis for embedded processors. In: IEEE 13th international symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), pp 20–23. doi:10.​1109/​DDECS.​2010.​5491824 CrossRef
7.
Zurück zum Zitat Bordoloi U, Tanasa B, Tahoori M, Eles P, Peng Z, Shazli S, Chakraborty S (2012) Reliability-aware instruction set customization for asips with hardened logic. In: IEEE 18th international conference on embedded and Real-Time Computing Systems and Applications (RTCSA), pp 164–173. doi:10.1109/RTCSA.2012.28 Bordoloi U, Tanasa B, Tahoori M, Eles P, Peng Z, Shazli S, Chakraborty S (2012) Reliability-aware instruction set customization for asips with hardened logic. In: IEEE 18th international conference on embedded and Real-Time Computing Systems and Applications (RTCSA), pp 164–173. doi:10.​1109/​RTCSA.​2012.​28
8.
Zurück zum Zitat Borodin D, Juurlink BH (2010) Protective redundancy overhead reduction using instruction vulnerability factor. In: Proceedings of the 7th ACM international conference on computing frontiers, CF’10. ACM, New York, pp 319–326. doi:10.1145/1787275.1787342 Borodin D, Juurlink BH (2010) Protective redundancy overhead reduction using instruction vulnerability factor. In: Proceedings of the 7th ACM international conference on computing frontiers, CF’10. ACM, New York, pp 319–326. doi:10.​1145/​1787275.​1787342
9.
Zurück zum Zitat Brisk P, Kaplan A, Sarrafzadeh M (2004) Area-efficient instruction set synthesis for reconfigurable system-on-chip designs. In: Proceedings. 41st, Design Automation Conference, pp 395–400 Brisk P, Kaplan A, Sarrafzadeh M (2004) Area-efficient instruction set synthesis for reconfigurable system-on-chip designs. In: Proceedings. 41st, Design Automation Conference, pp 395–400
10.
Zurück zum Zitat Fazeli M, Miremadi S, Asadi H, Tahoori M (2010) A fast analytical approach to multi-cycle soft error rate estimation of sequential circuits. In: 13th euromicro conference on Digital System Design: architectures, methods and tools (DSD), pp 797–800. doi:10.1109/DSD.2010.74 Fazeli M, Miremadi S, Asadi H, Tahoori M (2010) A fast analytical approach to multi-cycle soft error rate estimation of sequential circuits. In: 13th euromicro conference on Digital System Design: architectures, methods and tools (DSD), pp 797–800. doi:10.​1109/​DSD.​2010.​74
12.
Zurück zum Zitat Ienne P (2006) Customizable embedded processors: design technologies and applications. Elsevier, San Diego Ienne P (2006) Customizable embedded processors: design technologies and applications. Elsevier, San Diego
13.
Zurück zum Zitat Jones T, O’Boyle M, Ergin O (2008) Evaluating the effects of compiler optimisations on avf. In: 12th annual workshop on the interaction between compilers and computer architecture (INTERACT) in conjunction with HPCA-14 Jones T, O’Boyle M, Ergin O (2008) Evaluating the effects of compiler optimisations on avf. In: 12th annual workshop on the interaction between compilers and computer architecture (INTERACT) in conjunction with HPCA-14
16.
Zurück zum Zitat Martin G (2006) Recent developments in configurable and extensible processors. In: International conference on Application-specific Systems, Architectures and processors, ASAP’06, pp 39–44. doi:10.1109/ASAP.2006.57 Martin G (2006) Recent developments in configurable and extensible processors. In: International conference on Application-specific Systems, Architectures and processors, ASAP’06, pp 39–44. doi:10.​1109/​ASAP.​2006.​57
17.
Zurück zum Zitat Moreano N, Borin E, de Souza C, Araujo G (2005) Efficient datapath merging for partially reconfigurable architectures. IEEE Trans Comput-Aided Des Integr Circuits Syst 24(7):969–980. doi:10.1109/TCAD.2005.850844 CrossRef Moreano N, Borin E, de Souza C, Araujo G (2005) Efficient datapath merging for partially reconfigurable architectures. IEEE Trans Comput-Aided Des Integr Circuits Syst 24(7):969–980. doi:10.​1109/​TCAD.​2005.​850844 CrossRef
18.
Zurück zum Zitat Mukherjee SS, Weaver C, Emer J, Reinhardt SK, Austin T (2003) A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor. In: Proceedings of the 36th annual IEEE/ACM international symposium on microarchitecture. MICRO, vol 36. IEEE Comput. Soc., Washington, p 29 Mukherjee SS, Weaver C, Emer J, Reinhardt SK, Austin T (2003) A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor. In: Proceedings of the 36th annual IEEE/ACM international symposium on microarchitecture. MICRO, vol 36. IEEE Comput. Soc., Washington, p 29
19.
Zurück zum Zitat Papoulis A, Pillai S (2002) Probability, random variables, and stochastic processes. McGraw–Hill series in electrical and computer engineering. McGraw-Hill, New York Papoulis A, Pillai S (2002) Probability, random variables, and stochastic processes. McGraw–Hill series in electrical and computer engineering. McGraw-Hill, New York
21.
Zurück zum Zitat Rehman S, Shafique M, Henkel J (2012) Instruction scheduling for reliability-aware compilation. In: Proceedings of the 49th annual Design Automation Conference, DAC’12. ACM, New York, pp 1292–1300. doi:10.1145/2228360.2228601 CrossRef Rehman S, Shafique M, Henkel J (2012) Instruction scheduling for reliability-aware compilation. In: Proceedings of the 49th annual Design Automation Conference, DAC’12. ACM, New York, pp 1292–1300. doi:10.​1145/​2228360.​2228601 CrossRef
22.
Zurück zum Zitat Rehman S, Shafique M, Kriebel F, Henkel J (2011) Reliable software for unreliable hardware: embedded code generation aiming at reliability. In: Proceedings of the seventh IEEE/ACM/IFIP international conference on hardware/software codesign and system synthesis, CODES+ISSS’11. ACM, New York, pp 237–246. doi:10.1145/2039370.2039408 CrossRef Rehman S, Shafique M, Kriebel F, Henkel J (2011) Reliable software for unreliable hardware: embedded code generation aiming at reliability. In: Proceedings of the seventh IEEE/ACM/IFIP international conference on hardware/software codesign and system synthesis, CODES+ISSS’11. ACM, New York, pp 237–246. doi:10.​1145/​2039370.​2039408 CrossRef
25.
Zurück zum Zitat Shivakumar P, Kistler M, Keckler S, Burger D, Alvisi L (2002) Modeling the effect of technology trends on the soft error rate of combinational logic. In: Proceedings. international conference on Dependable Systems and Networks, DSN 2002, pp 389–398. doi:10.1109/DSN.2002.1028924 Shivakumar P, Kistler M, Keckler S, Burger D, Alvisi L (2002) Modeling the effect of technology trends on the soft error rate of combinational logic. In: Proceedings. international conference on Dependable Systems and Networks, DSN 2002, pp 389–398. doi:10.​1109/​DSN.​2002.​1028924
28.
Zurück zum Zitat Zhang Y, Chakrabarty K, Swaminathan V (2003) Energy-aware fault tolerance in fixed-priority real-time embedded systems. In: International Conference on Computer Aided Design, ICCAD-2003, pp 209–213. doi:10.1109/ICCAD.2003.1257640 Zhang Y, Chakrabarty K, Swaminathan V (2003) Energy-aware fault tolerance in fixed-priority real-time embedded systems. In: International Conference on Computer Aided Design, ICCAD-2003, pp 209–213. doi:10.​1109/​ICCAD.​2003.​1257640
29.
Zurück zum Zitat Ziegler JF, Curtis HW, Muhlfeld HP, Montrose CJ, Chin B, Nicewicz M, Russell CA, Wang WY, Freeman LB, Hosier P, LaFave LE, Walsh JL, Orro JM, Unger GJ, Ross JM, O’Gorman TJ, Messina B, Sullivan TD, Sykes AJ, Yourke H, Enger TA, Tolat V, Scott TS, Taber AH, Sussman RJ, Klein WA, Wahaus CW (1996) Ibm experiments in soft fails in computer electronics (1978–1994). IBM J Res Dev 40(1):3–18. doi:10.1147/rd.401.0003 CrossRef Ziegler JF, Curtis HW, Muhlfeld HP, Montrose CJ, Chin B, Nicewicz M, Russell CA, Wang WY, Freeman LB, Hosier P, LaFave LE, Walsh JL, Orro JM, Unger GJ, Ross JM, O’Gorman TJ, Messina B, Sullivan TD, Sykes AJ, Yourke H, Enger TA, Tolat V, Scott TS, Taber AH, Sussman RJ, Klein WA, Wahaus CW (1996) Ibm experiments in soft fails in computer electronics (1978–1994). IBM J Res Dev 40(1):3–18. doi:10.​1147/​rd.​401.​0003 CrossRef
Metadaten
Titel
An analytical method for reliability aware instruction set extension
verfasst von
Ali Azarpeyvand
Mostafa E. Salehi
Sied Mehdi Fakhraie
Publikationsdatum
01.01.2014
Verlag
Springer US
Erschienen in
The Journal of Supercomputing / Ausgabe 1/2014
Print ISSN: 0920-8542
Elektronische ISSN: 1573-0484
DOI
https://doi.org/10.1007/s11227-013-0990-z

Weitere Artikel der Ausgabe 1/2014

The Journal of Supercomputing 1/2014 Zur Ausgabe

Premium Partner