Skip to main content
Erschienen in: Journal of Electronic Testing 2/2016

16.03.2016

An Exact approach for Complete Test Set Generation of Toffoli-Fredkin-Peres based Reversible Circuits

verfasst von: A. N. Nagamani, S. Ashwin, B. Abhishek, V. K. Agrawal

Erschienen in: Journal of Electronic Testing | Ausgabe 2/2016

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Reversible logic has gained interest of researchers worldwide for its ultra-low power and high speed computing abilities in the future quantum information processing. Testing of these circuits is important for ensuring high reliability of their operation. In this work, we propose an ATPG algorithm for reversible circuits using an exact approach to generate CTS (Complete Test Set) which can detect single stuck-at faults, multiple stuck-at faults, repeated gate fault, partial and complete missing gate faults which are very useful logical fault models for reversible logic to model any physical defect. Proposed algorithm can be used to test a reversible circuit designed with k-CNOT, Peres and Fredkin gates. Through extensive experiments, we have validated our proposed algorithm for several benchmark circuits and other circuits with family of reversible gates. This algorithm produces a minimal and complete test set while reducing test generation time as compared to existing state-of-the-art algorithms. A testing tool is developed satisfying the purpose of generating all possible CTS’s indicating the simulation time, number of levels and gates in the circuit. This paper also contributes to the detection and removal of redundant faults for optimal test set generation.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
2.
Zurück zum Zitat Bushnell M, Agrawal VD (2000) Essentials of electronic testing for digital, memory and mixed-signal VLSI circuits, vol 17. Springer Science & Business Media Bushnell M, Agrawal VD (2000) Essentials of electronic testing for digital, memory and mixed-signal VLSI circuits, vol 17. Springer Science & Business Media
3.
Zurück zum Zitat Chakraborty A (2005) Synthesis of reversible circuits for testing with universal test set and c-testability of reversible iterative logic arrays. In: Proceedings of 18th international conference on VLSI design, VLSID. IEEE, pp 249–254 Chakraborty A (2005) Synthesis of reversible circuits for testing with universal test set and c-testability of reversible iterative logic arrays. In: Proceedings of 18th international conference on VLSI design, VLSID. IEEE, pp 249–254
4.
Zurück zum Zitat Chaves JF, Silva DS, Camargos VV, Vilela Neto OP (2015) Towards reversible QCA computers: reversible gates and ALU. In: Proceedings of IEEE 6th Latin American symposium on circuits & systems, (LASCAS). IEEE, pp 1–4 Chaves JF, Silva DS, Camargos VV, Vilela Neto OP (2015) Towards reversible QCA computers: reversible gates and ALU. In: Proceedings of IEEE 6th Latin American symposium on circuits & systems, (LASCAS). IEEE, pp 1–4
5.
Zurück zum Zitat Donald J, Jha NK (2008) Reversible logic synthesis with fredkin and peres gates. J Emerg Technol Comput Syst 4(1):2:1–2:19CrossRef Donald J, Jha NK (2008) Reversible logic synthesis with fredkin and peres gates. J Emerg Technol Comput Syst 4(1):2:1–2:19CrossRef
6.
Zurück zum Zitat Fang-ying X, Han-wu C, Wen-jie L, Zhi-giang L (2008) Fault detection for single and multiple missing-gate faults in reversible circuits. In: Proceedings of IEEE congress on evolutionary computation (IEEE world congress on computational intelligence). doi:10.1109/CEC.2008.4630787, pp 131–135 Fang-ying X, Han-wu C, Wen-jie L, Zhi-giang L (2008) Fault detection for single and multiple missing-gate faults in reversible circuits. In: Proceedings of IEEE congress on evolutionary computation (IEEE world congress on computational intelligence). doi:10.​1109/​CEC.​2008.​4630787, pp 131–135
7.
Zurück zum Zitat Hayes J, Polian I, Becker B (2004) Testing for missing-gate faults in reversible circuits. In: Proceedings of 13th Asian test symposium. doi:10.1109/ATS.2004.84, pp 100–105 Hayes J, Polian I, Becker B (2004) Testing for missing-gate faults in reversible circuits. In: Proceedings of 13th Asian test symposium. doi:10.​1109/​ATS.​2004.​84, pp 100–105
8.
Zurück zum Zitat Ibrahim M, Chowdhury A, Babu H (2008) Minimization of cts of k-cnot circuits for ssf and msf model. In: Proceedings of IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems, DFTVS’08. doi:10.1109/DFT.2008.38, pp 290–298 Ibrahim M, Chowdhury A, Babu H (2008) Minimization of cts of k-cnot circuits for ssf and msf model. In: Proceedings of IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems, DFTVS’08. doi:10.​1109/​DFT.​2008.​38, pp 290–298
9.
Zurück zum Zitat Ibrahim M, Chowdhury A, Babu H (2008) On the minimization of complete test set of reversible k-cnot circuits for stuck-at fault model. In: Proceedings of 11th international conference on computer and information technology, ICCIT 2008. doi:10.1109/ICCITECHN.2008.4803009, pp 7–12 Ibrahim M, Chowdhury A, Babu H (2008) On the minimization of complete test set of reversible k-cnot circuits for stuck-at fault model. In: Proceedings of 11th international conference on computer and information technology, ICCIT 2008. doi:10.​1109/​ICCITECHN.​2008.​4803009, pp 7–12
10.
Zurück zum Zitat Kole DK, Rahaman H, Das DK, Bhattacharya BB (2010) Synthesis of online testable reversible circuit. In: Proceedings IEEE 13th international symposium on design and diagnostics of electronic circuits and systems (DDECS). IEEE, pp 277– 280 Kole DK, Rahaman H, Das DK, Bhattacharya BB (2010) Synthesis of online testable reversible circuit. In: Proceedings IEEE 13th international symposium on design and diagnostics of electronic circuits and systems (DDECS). IEEE, pp 277– 280
11.
Zurück zum Zitat Kole DK, Rahaman H, Das DK, Bhattacharya BB (2013) Derivation of test set for detecting multiple missing-gate faults in reversible circuits. Comput Electr Eng 39(2):225– 236CrossRef Kole DK, Rahaman H, Das DK, Bhattacharya BB (2013) Derivation of test set for detecting multiple missing-gate faults in reversible circuits. Comput Electr Eng 39(2):225– 236CrossRef
13.
Zurück zum Zitat Lo HK, Popescu S, Spiller T (eds) (2002) Introduction to quantum computation information. World Scientific Publishing Co., Inc., River Edge Lo HK, Popescu S, Spiller T (eds) (2002) Introduction to quantum computation information. World Scientific Publishing Co., Inc., River Edge
14.
Zurück zum Zitat Ma X, Huang J, Metra C, Lombardi F (2008) Reversible gates and testability of one dimensional arrays of molecular QCA. J Electron Test 24(1-3):297–311CrossRef Ma X, Huang J, Metra C, Lombardi F (2008) Reversible gates and testability of one dimensional arrays of molecular QCA. J Electron Test 24(1-3):297–311CrossRef
18.
Zurück zum Zitat Mishchenko A, Perkowski M (2002) Logic synthesis of reversible wave cascades. In: Proceedings of international workshop on logic synthesis, pp 197–202 Mishchenko A, Perkowski M (2002) Logic synthesis of reversible wave cascades. In: Proceedings of international workshop on logic synthesis, pp 197–202
19.
Zurück zum Zitat Mondal B, Kole D, Das D, Rahaman H (2014) Generator for test set construction of smgf in reversible circuit by boolean difference method. In: Proceedings of IEEE 23rd Asian test symposium (ATS). doi:10.1109/ATS.2014.24, pp 68–73 Mondal B, Kole D, Das D, Rahaman H (2014) Generator for test set construction of smgf in reversible circuit by boolean difference method. In: Proceedings of IEEE 23rd Asian test symposium (ATS). doi:10.​1109/​ATS.​2014.​24, pp 68–73
20.
Zurück zum Zitat Nayeem N, Rice J (2011) A simple approach for designing online testable reversible circuits. In: Proceedings of IEEE pacific rim conference on communications, computers and signal processing (PacRim). IEEE, pp 85–90 Nayeem N, Rice J (2011) A simple approach for designing online testable reversible circuits. In: Proceedings of IEEE pacific rim conference on communications, computers and signal processing (PacRim). IEEE, pp 85–90
21.
Zurück zum Zitat Nielsen MA, Chuang IL (2010) Quantum computation and quantum information. Cambridge University Press Nielsen MA, Chuang IL (2010) Quantum computation and quantum information. Cambridge University Press
22.
Zurück zum Zitat Perumalla KS (2013) Introduction to reversible computing Perumalla KS (2013) Introduction to reversible computing
23.
Zurück zum Zitat Polian I, Fiehn T, Becker B, Hayes JP (2005) A family of logical fault models for reversible circuits. In: Proceedings of 14th Asian test symposium. IEEE, pp 422–427 Polian I, Fiehn T, Becker B, Hayes JP (2005) A family of logical fault models for reversible circuits. In: Proceedings of 14th Asian test symposium. IEEE, pp 422–427
24.
Zurück zum Zitat Polian I, Hayes JP (2010) Advanced modeling of faults in reversible circuits. In: Proceedings of East-West design & test symposium (EWDTS). IEEE, pp 376–381 Polian I, Hayes JP (2010) Advanced modeling of faults in reversible circuits. In: Proceedings of East-West design & test symposium (EWDTS). IEEE, pp 376–381
25.
Zurück zum Zitat Rahaman H, Kole DK, Das DK, Bhattacharya BB (2008) On the detection of missing-gate faults in reversible circuits by a universal test set. In: Proceedings of 21st international conference on VLSI design, VLSID. IEEE, pp 163–168 Rahaman H, Kole DK, Das DK, Bhattacharya BB (2008) On the detection of missing-gate faults in reversible circuits by a universal test set. In: Proceedings of 21st international conference on VLSI design, VLSID. IEEE, pp 163–168
26.
Zurück zum Zitat Rahaman H, Kole DK, Das DK, Bhattacharya BB (2011) Fault diagnosis in reversible circuits under missing-gate fault model. Comput Electr Eng 37(4):475–485CrossRefMATH Rahaman H, Kole DK, Das DK, Bhattacharya BB (2011) Fault diagnosis in reversible circuits under missing-gate fault model. Comput Electr Eng 37(4):475–485CrossRefMATH
27.
Zurück zum Zitat Soeken M, Chattopadhyay A (2015) Fredkin-enabled transformation-based reversible logic synthesis. In: Proceedings of IEEE international symposium on multiple-valued logic (ISMVL). doi:10.1109/ISMVL.2015.37, pp 60–65 Soeken M, Chattopadhyay A (2015) Fredkin-enabled transformation-based reversible logic synthesis. In: Proceedings of IEEE international symposium on multiple-valued logic (ISMVL). doi:10.​1109/​ISMVL.​2015.​37, pp 60–65
28.
Zurück zum Zitat Taraphdar C, Chattopadhyay T, Roy JN (2010) Mach–zehnder interferometer-based all-optical reversible logic gate. Opt Laser Technol 42(2):249–259CrossRef Taraphdar C, Chattopadhyay T, Roy JN (2010) Mach–zehnder interferometer-based all-optical reversible logic gate. Opt Laser Technol 42(2):249–259CrossRef
29.
Zurück zum Zitat Thapliyal H, Vinod AP (2007) Designing efficient online testable reversible adders with new reversible gate. In: Proceedings of IEEE international symposium on circuits and systems, ISCAS. IEEE, pp 1085–1088 Thapliyal H, Vinod AP (2007) Designing efficient online testable reversible adders with new reversible gate. In: Proceedings of IEEE international symposium on circuits and systems, ISCAS. IEEE, pp 1085–1088
30.
Zurück zum Zitat Vasudevan DP, Lala PK, Di J, Parkerson JP (2006) Reversible-logic design with online testability. IEEE Trans Instrum Meas 55(2):406–414CrossRef Vasudevan DP, Lala PK, Di J, Parkerson JP (2006) Reversible-logic design with online testability. IEEE Trans Instrum Meas 55(2):406–414CrossRef
31.
Zurück zum Zitat Wille ADVR (2010) Reversible computation. Springer Wille ADVR (2010) Reversible computation. Springer
32.
Zurück zum Zitat Wille R, Zhang H, Drechsler R (2011) Atpg for reversible circuits using simulation, boolean satisfiability, and pseudo boolean optimization. In: Proceedings of IEEE computer society annual symposium on VLSI (ISVLSI). IEEE, pp 120– 125 Wille R, Zhang H, Drechsler R (2011) Atpg for reversible circuits using simulation, boolean satisfiability, and pseudo boolean optimization. In: Proceedings of IEEE computer society annual symposium on VLSI (ISVLSI). IEEE, pp 120– 125
33.
Zurück zum Zitat Woeginger GJ (2003) Exact algorithms for NP-hard problems: a survey. Springer Woeginger GJ (2003) Exact algorithms for NP-hard problems: a survey. Springer
34.
Zurück zum Zitat Zamani M, Tahoori MB (2011) Online missing/repeated gate faults detection in reversible circuits. In: Proceedings of IEEE international symposium on defect and fault tolerance in VLSI and nanotechnology systems (DFT). IEEE, pp 435– 442 Zamani M, Tahoori MB (2011) Online missing/repeated gate faults detection in reversible circuits. In: Proceedings of IEEE international symposium on defect and fault tolerance in VLSI and nanotechnology systems (DFT). IEEE, pp 435– 442
35.
Zurück zum Zitat Zamani M, Tahoori MB, Chakrabarty K (2012) Ping-pong test: Compact test vector generation for reversible circuits. In: Proceedings of IEEE 30th VLSI test symposium (VTS). IEEE, pp 164– 169 Zamani M, Tahoori MB, Chakrabarty K (2012) Ping-pong test: Compact test vector generation for reversible circuits. In: Proceedings of IEEE 30th VLSI test symposium (VTS). IEEE, pp 164– 169
Metadaten
Titel
An Exact approach for Complete Test Set Generation of Toffoli-Fredkin-Peres based Reversible Circuits
verfasst von
A. N. Nagamani
S. Ashwin
B. Abhishek
V. K. Agrawal
Publikationsdatum
16.03.2016
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 2/2016
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-016-5574-4

Weitere Artikel der Ausgabe 2/2016

Journal of Electronic Testing 2/2016 Zur Ausgabe

Neuer Inhalt