Skip to main content
Erschienen in: Journal of Electronic Testing 5/2018

14.09.2018

Fault Leveling Techniques for Yield and Reliability Enhancement of NAND Flash Memories

verfasst von: Shyue-Kung Lu, Shang-Xiu Zhong, Masaki Hashizume

Erschienen in: Journal of Electronic Testing | Ausgabe 5/2018

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Novel fault leveling techniques based on address remapping (AR) are proposed in this paper. We can change the logical-to-physical address mapping of the page buffer such that faulty cells within a flash page can be evenly distributed into different codewords. Therefore, the adopted ECC scheme can correct them effectively. Based on the production test or on-line BIST results, the fault bitmap can be used for executing the heuristic fault leveling analysis (FLA) algorithm and evaluating control words used to steer fault leveling. A new page buffer architecture suitable for address remapping is also proposed. According to experimental results, repair rate, yield, and reliability can be improved significantly with negligible hardware overhead.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
1.
Zurück zum Zitat Bez R, Camerlenghi E, Modelli A, Visconti A (2003) Introduction to flash memory. Proc IEEE 91(4):489–502CrossRef Bez R, Camerlenghi E, Modelli A, Visconti A (2003) Introduction to flash memory. Proc IEEE 91(4):489–502CrossRef
2.
Zurück zum Zitat Cao H, Huo ZL, Wang Y, Li T, Liu J, Jin L, Zhang D, Li D, Liu M (2014) A page buffer design based on stable and area-saving embedded SRAM for flash applications,” In Proc. IEEE Int’l Conf. on Solid-State and Integrated Circuit Technology (ICSICT), pp. 1–3 Cao H, Huo ZL, Wang Y, Li T, Liu J, Jin L, Zhang D, Li D, Liu M (2014) A page buffer design based on stable and area-saving embedded SRAM for flash applications,” In Proc. IEEE Int’l Conf. on Solid-State and Integrated Circuit Technology (ICSICT), pp. 1–3
3.
Zurück zum Zitat Chen CW, Wu CW (2010) An adaptive code rate EDAC scheme for 16-bit random access memory. In Proc. Int’l Conf Design automation and Test in Europe (DATE). pp. 735–740 Chen CW, Wu CW (2010) An adaptive code rate EDAC scheme for 16-bit random access memory. In Proc. Int’l Conf Design automation and Test in Europe (DATE). pp. 735–740
4.
Zurück zum Zitat Gabrys R, Yaakobi E, Dolecek L (2012) Graded bit-error-correcting codes with applications to flash memory. IEEE Trans Inf Theory 59(4):2315–2327MathSciNetCrossRef Gabrys R, Yaakobi E, Dolecek L (2012) Graded bit-error-correcting codes with applications to flash memory. IEEE Trans Inf Theory 59(4):2315–2327MathSciNetCrossRef
5.
Zurück zum Zitat Ginez O, Portal JM, Aziza H (2008) Reliability issues in flash memories: an on-line diagnosis and repair scheme for word line drivers. In Proc. Int’l Workshop on Mixed-Signals, Sensors, and Systems Test, pp. 1–6 Ginez O, Portal JM, Aziza H (2008) Reliability issues in flash memories: an on-line diagnosis and repair scheme for word line drivers. In Proc. Int’l Workshop on Mixed-Signals, Sensors, and Systems Test, pp. 1–6
6.
Zurück zum Zitat Ginez O, Portal JM, Aziza H (2009) An on-line testing scheme for repairing purposes in flash memories. In Proc. IEEE Int’l Symp Design and Diagnostics of Electronic Circuits & Systems (DDECS), pp 213–216 Ginez O, Portal JM, Aziza H (2009) An on-line testing scheme for repairing purposes in flash memories. In Proc. IEEE Int’l Symp Design and Diagnostics of Electronic Circuits & Systems (DDECS), pp 213–216
8.
Zurück zum Zitat Ho CC, Liu YP, Chang YH, Kuo TW (2017) Anti-wear leveling design for SSDs with hybrid ECC capability. IEEE Trans VLSI Systems 25(2):488–501CrossRef Ho CC, Liu YP, Chang YH, Kuo TW (2017) Anti-wear leveling design for SSDs with hybrid ECC capability. IEEE Trans VLSI Systems 25(2):488–501CrossRef
9.
Zurück zum Zitat Hsiao YY, Chen CH, Wu CW (2006) A built-in self-repair scheme for NOR-type flash memory. Proc. IEEE VLSI Test Symp (VTS), Berkeley, pp. 114–119 Hsiao YY, Chen CH, Wu CW (2006) A built-in self-repair scheme for NOR-type flash memory. Proc. IEEE VLSI Test Symp (VTS), Berkeley, pp. 114–119
10.
Zurück zum Zitat Hsiao YY, Chen CH, Wu CW (2010) Built-in self-repair schemes for flash memories. IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems 29(8):1243–1256CrossRef Hsiao YY, Chen CH, Wu CW (2010) Built-in self-repair schemes for flash memories. IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems 29(8):1243–1256CrossRef
11.
Zurück zum Zitat Hsieh JW, Chen CW, Lin HY (2015) Adaptive ECC scheme for hybrid protection of SSD’s. IEEE Trans Comput 64(12):3348–3361MathSciNetCrossRef Hsieh JW, Chen CW, Lin HY (2015) Adaptive ECC scheme for hybrid protection of SSD’s. IEEE Trans Comput 64(12):3348–3361MathSciNetCrossRef
12.
Zurück zum Zitat Huang M, Xu B, Liu ZQ, Xu Y, Wu D (2017) Implicit programming: a fast programming strategy for NAND flash memory storage systems adopting redundancy methods. IEEE Embed Syst Lett 9(2):37–40CrossRef Huang M, Xu B, Liu ZQ, Xu Y, Wu D (2017) Implicit programming: a fast programming strategy for NAND flash memory storage systems adopting redundancy methods. IEEE Embed Syst Lett 9(2):37–40CrossRef
13.
Zurück zum Zitat Kang SM, Leblebici Y (2003) CMOS digital integrated circuits: analysis and design. New York :McGraw Hill Kang SM, Leblebici Y (2003) CMOS digital integrated circuits: analysis and design. New York :McGraw Hill
14.
Zurück zum Zitat Lin S, Costello DJ (2004) Error Control Coding, 2nd edn. Pearson Prentice Hall, Englewood CliffsMATH Lin S, Costello DJ (2004) Error Control Coding, 2nd edn. Pearson Prentice Hall, Englewood CliffsMATH
15.
Zurück zum Zitat Lin YM, Li HT, Chung MH, Wu AY (2015) Byte-Reconfigurable LDPC Codec Design With Application to High-Performance ECC of NAND Flash Memory Systems. IEEE Trans Circuits and Systems I 62(7):1794–1804CrossRef Lin YM, Li HT, Chung MH, Wu AY (2015) Byte-Reconfigurable LDPC Codec Design With Application to High-Performance ECC of NAND Flash Memory Systems. IEEE Trans Circuits and Systems I 62(7):1794–1804CrossRef
16.
Zurück zum Zitat Micheloni R, Picca M, Amato S, Schwalm H, Scheppler M, Commodaro S (2009) Non-volatile memories for removable media. Proc IEEE 97(1):148–160CrossRef Micheloni R, Picca M, Amato S, Schwalm H, Scheppler M, Commodaro S (2009) Non-volatile memories for removable media. Proc IEEE 97(1):148–160CrossRef
17.
Zurück zum Zitat Mielke NR, Frickey RE, Kalastirsky I, Quan M, Ustinov D, Vasudevan VJ (2017) Reliability of solid-state drives based on NAND flash memory. Proc IEEE 105(9):1725–1750CrossRef Mielke NR, Frickey RE, Kalastirsky I, Quan M, Ustinov D, Vasudevan VJ (2017) Reliability of solid-state drives based on NAND flash memory. Proc IEEE 105(9):1725–1750CrossRef
18.
Zurück zum Zitat Mohammad MG, Saluja KK (2001) Flash memory disturbances: modeling and test. In Proc. IEEE VLSI Test Symp (VTS), pp. 218–224 Mohammad MG, Saluja KK (2001) Flash memory disturbances: modeling and test. In Proc. IEEE VLSI Test Symp (VTS), pp. 218–224
19.
Zurück zum Zitat Park Y, Lee J, Cho SS, Jin G, Jung E (2014) Scaling and reliability of NAND flash devices. In Proc IEEE 52nd Int Reliab Phys Symp (IRPS), pp. 2E.1.1-2E.1.4 Park Y, Lee J, Cho SS, Jin G, Jung E (2014) Scaling and reliability of NAND flash devices. In Proc IEEE 52nd Int Reliab Phys Symp (IRPS), pp. 2E.1.1-2E.1.4
20.
Zurück zum Zitat Pavan P, Bez R, Olivo P, Zanoni E (1997) Flash memory cells. Proc IEEE 85(8):1248–1271CrossRef Pavan P, Bez R, Olivo P, Zanoni E (1997) Flash memory cells. Proc IEEE 85(8):1248–1271CrossRef
21.
Zurück zum Zitat Sala F, Kees A, Schouhamer Immink A, Dolecek L (2015) Error control schemes for modern flash memories. IEEE Consumer Electronics Magazine 4:66–73CrossRef Sala F, Kees A, Schouhamer Immink A, Dolecek L (2015) Error control schemes for modern flash memories. IEEE Consumer Electronics Magazine 4:66–73CrossRef
22.
Zurück zum Zitat Shin D, Park J, Park J, Paul S, Bhunia S (2017) Adaptive ECC for Tailored Protection of Nanoscale Memory. IEEE Design and Test of Computers 34(6):84–93CrossRef Shin D, Park J, Park J, Paul S, Bhunia S (2017) Adaptive ECC for Tailored Protection of Nanoscale Memory. IEEE Design and Test of Computers 34(6):84–93CrossRef
23.
Zurück zum Zitat Wang Y, Huang M, Shao Z, Chan HCB, Bathen LAD, Dutt ND (2014) A Reliability-Aware Address Mapping Strategy for NAND Flash Memory Storage Systems. IEEE Trans Computer-Aided Design of Integrated Circuits and Systems 33(11):1623–1631CrossRef Wang Y, Huang M, Shao Z, Chan HCB, Bathen LAD, Dutt ND (2014) A Reliability-Aware Address Mapping Strategy for NAND Flash Memory Storage Systems. IEEE Trans Computer-Aided Design of Integrated Circuits and Systems 33(11):1623–1631CrossRef
24.
Zurück zum Zitat Wang Y, Qin Z, Chen R, Shao Z, Wang Q, Li S, Yang LT (2016) A Real-Time Flash Translation Layer for NAND Flash Memory Storage Systems. IEEE Trans Multi-Scale Computing Systems 2(1):17–29CrossRef Wang Y, Qin Z, Chen R, Shao Z, Wang Q, Li S, Yang LT (2016) A Real-Time Flash Translation Layer for NAND Flash Memory Storage Systems. IEEE Trans Multi-Scale Computing Systems 2(1):17–29CrossRef
25.
Zurück zum Zitat Wang S, Wu F, Lu Z, Zhou Y, Xiong Q, Zhang M, Xie C (2017) Lifetime adaptive ECC in NAND flash page management. In Proc. IEEE Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1253-1256 Wang S, Wu F, Lu Z, Zhou Y, Xiong Q, Zhang M, Xie C (2017) Lifetime adaptive ECC in NAND flash page management. In Proc. IEEE Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1253-1256
26.
Zurück zum Zitat Wei D, Deng L, Zhang P, Qiao L, Peng X (2016) NRC: A Nibble Remapping Coding Strategy for NAND Flash Reliability Extension. IEEE Trans Computer-Aided Design of Integrated Circuits and Systems 35(11):1942–1946CrossRef Wei D, Deng L, Zhang P, Qiao L, Peng X (2016) NRC: A Nibble Remapping Coding Strategy for NAND Flash Reliability Extension. IEEE Trans Computer-Aided Design of Integrated Circuits and Systems 35(11):1942–1946CrossRef
27.
Zurück zum Zitat Yang CG, Emre Y, Chakrabarti C (2012) Product code schemes for error correction in MLC NAND flash memories. IEEE Trans VLSI Systems 20(12):2302–2314CrossRef Yang CG, Emre Y, Chakrabarti C (2012) Product code schemes for error correction in MLC NAND flash memories. IEEE Trans VLSI Systems 20(12):2302–2314CrossRef
28.
Zurück zum Zitat Yang MC, Chang YM, Tsao CW, Huang PC, Chang YH, Kuo TW, (2014) Garbage collection and wear leveling for flash memory: Past and future. In Proc Int’l Conf on Smart Computing, pp. 66–73 Yang MC, Chang YM, Tsao CW, Huang PC, Chang YH, Kuo TW, (2014) Garbage collection and wear leveling for flash memory: Past and future. In Proc Int’l Conf on Smart Computing, pp. 66–73
29.
Zurück zum Zitat Yeh JC, Cheng KL, Chou YF, Wu CW (2007) Flash memory testing and built-in self-diagnosis with march-like test algorithms. IEEE Trans Computer-Aided Design of Integrated Circuits and Systems 26(6):1101–1113CrossRef Yeh JC, Cheng KL, Chou YF, Wu CW (2007) Flash memory testing and built-in self-diagnosis with march-like test algorithms. IEEE Trans Computer-Aided Design of Integrated Circuits and Systems 26(6):1101–1113CrossRef
30.
Zurück zum Zitat Zhao K, Zhao W, Sun H, Zhang T, Zhang X, Zheng N (2013) LDPC in-SSD: making advanced error correction codes work effectively in solid state drives. In FAST ’13 Zhao K, Zhao W, Sun H, Zhang T, Zhang X, Zheng N (2013) LDPC in-SSD: making advanced error correction codes work effectively in solid state drives. In FAST ’13
Metadaten
Titel
Fault Leveling Techniques for Yield and Reliability Enhancement of NAND Flash Memories
verfasst von
Shyue-Kung Lu
Shang-Xiu Zhong
Masaki Hashizume
Publikationsdatum
14.09.2018
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 5/2018
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-018-5752-7

Weitere Artikel der Ausgabe 5/2018

Journal of Electronic Testing 5/2018 Zur Ausgabe

EditorialNotes

Editorial

Neuer Inhalt