Skip to main content
Erschienen in: Journal of Electronic Testing 1-3/2008

01.06.2008

Design of Low Power & Reliable Networks on Chip Through Joint Crosstalk Avoidance and Multiple Error Correction Coding

verfasst von: Amlan Ganguly, Partha Pratim Pande, Benjamin Belzer, Cristian Grecu

Erschienen in: Journal of Electronic Testing | Ausgabe 1-3/2008

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Network on Chip (NoC) is an enabling methodology of integrating a very high number of intellectual property (IP) blocks in a single System on Chip (SoC). A major challenge that NoC design is expected to face is the intrinsic unreliability of the interconnect infrastructure under technology limitations. Research must address the combination of new device-level defects or error-prone technologies within systems that must deliver high levels of reliability and dependability while satisfying other hard constraints such as low energy consumption. By incorporating novel error correcting codes it is possible to protect the NoC communication fabric against transient errors and at the same time lower the energy dissipation. We propose a novel, simple coding scheme called Crosstalk Avoiding Double Error Correction Code (CADEC). Detailed analysis followed by simulations with three commonly used NoC architectures show that CADEC provides significant energy savings compared to previously proposed crosstalk avoiding single error correcting codes and error-detection/retransmission schemes.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
1.
Zurück zum Zitat Avresky DR, Shubranov V, Horst R, Mehra P (1999) Performance Evaluation of the ServerNetR SAN under Self-Similar Traffic. Proceedings of 13th International and 10th Symposium on Parallel and Distributed Processing 143–147, April 12–16th Avresky DR, Shubranov V, Horst R, Mehra P (1999) Performance Evaluation of the ServerNetR SAN under Self-Similar Traffic. Proceedings of 13th International and 10th Symposium on Parallel and Distributed Processing 143–147, April 12–16th
2.
Zurück zum Zitat Benini L, De Micheli G (2002) Networks on Chips: A New SoC Paradigm. IEEE Computer 70–78, Jan Benini L, De Micheli G (2002) Networks on Chips: A New SoC Paradigm. IEEE Computer 70–78, Jan
3.
Zurück zum Zitat Benini L, Bertozzi D (2004) Xpipes: A Network-on-Chip Architecture for Gigascale Systems-on-Chip. IEEE Circuits Syst Mag 4(2):18–31, Apr–JuneCrossRef Benini L, Bertozzi D (2004) Xpipes: A Network-on-Chip Architecture for Gigascale Systems-on-Chip. IEEE Circuits Syst Mag 4(2):18–31, Apr–JuneCrossRef
4.
Zurück zum Zitat Bertozzi D, Benini L, De Micheli G (2002) Low power error resilient encoding for on-chip data buses. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, (DATE) 102–109, 4–8 March Bertozzi D, Benini L, De Micheli G (2002) Low power error resilient encoding for on-chip data buses. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, (DATE) 102–109, 4–8 March
5.
Zurück zum Zitat Bertozzi D, Benini L, De Micheli G (2005) Error Control Schemes for On-Chip Communication Links: The Energy-Reliability Tradeoff. IEEE Trans Comput-Aided Des Integr Circuits Syst 24(6):818–831, JuneCrossRef Bertozzi D, Benini L, De Micheli G (2005) Error Control Schemes for On-Chip Communication Links: The Energy-Reliability Tradeoff. IEEE Trans Comput-Aided Des Integr Circuits Syst 24(6):818–831, JuneCrossRef
6.
Zurück zum Zitat Duato J, Yalamanchili S, Ni L (2002) Interconnection Networks – An Engineering Approach, Morgan Kaufmann Duato J, Yalamanchili S, Ni L (2002) Interconnection Networks – An Engineering Approach, Morgan Kaufmann
7.
Zurück zum Zitat Dupont E, Nicolaidis M, Rohr P (2002) Embedded Robustness IPs for Transient-Error-Free ICs. IEEE Des Test Comput 19(3):54–68, May–JuneCrossRef Dupont E, Nicolaidis M, Rohr P (2002) Embedded Robustness IPs for Transient-Error-Free ICs. IEEE Des Test Comput 19(3):54–68, May–JuneCrossRef
8.
Zurück zum Zitat Grecu C, Pande PP, Ivanov A, Saleh R (2004) A Scalable Communication-Centric SoC Interconnect Architecture”, Proceedings of IEEE International Symposium on Quality Electronic Design, ISQED 343–348 Grecu C, Pande PP, Ivanov A, Saleh R (2004) A Scalable Communication-Centric SoC Interconnect Architecture”, Proceedings of IEEE International Symposium on Quality Electronic Design, ISQED 343–348
9.
Zurück zum Zitat Grecu C, Pande PP, Ivanov A, Saleh R (2005) Timing Analysis of Network on Chip Architectures for MP-SoC Platforms. Microelectron J Elsevier 36(9):833–845 Grecu C, Pande PP, Ivanov A, Saleh R (2005) Timing Analysis of Network on Chip Architectures for MP-SoC Platforms. Microelectron J Elsevier 36(9):833–845
11.
Zurück zum Zitat Kretzschmar C, Nieuwland AK, Muller D (2004) Why Transition Coding for Power Minimization of on-Chip Buses does not work. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition 512–517, 16–20 Feb Kretzschmar C, Nieuwland AK, Muller D (2004) Why Transition Coding for Power Minimization of on-Chip Buses does not work. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition 512–517, 16–20 Feb
12.
Zurück zum Zitat Lin S, Costello DJ (1983) Error Control Coding: Fundamentals and Applications, Prentice-Hall Lin S, Costello DJ (1983) Error Control Coding: Fundamentals and Applications, Prentice-Hall
13.
Zurück zum Zitat Magarshack P, Paulin PG (2003) System-on-Chip beyond the Nanometer Wall. Proceedings of 40th Design Automation Conf. (DAC 03), ACM Press, pp 419–424 Magarshack P, Paulin PG (2003) System-on-Chip beyond the Nanometer Wall. Proceedings of 40th Design Automation Conf. (DAC 03), ACM Press, pp 419–424
14.
Zurück zum Zitat McWilliams FJ (1963) A Theorem on the Distribution of Weights in a Systematic Code. Bell Syst Tech Jour 42:79–94 McWilliams FJ (1963) A Theorem on the Distribution of Weights in a Systematic Code. Bell Syst Tech Jour 42:79–94
15.
Zurück zum Zitat Mitra S, Seifert N, Zhang M, Shi Q, Kim KS (2005) Robust System Design with Built-In Soft Error Resilience. IEEE Computer 38(2):43–52, Feb Mitra S, Seifert N, Zhang M, Shi Q, Kim KS (2005) Robust System Design with Built-In Soft Error Resilience. IEEE Computer 38(2):43–52, Feb
16.
Zurück zum Zitat Murali S, De Micheli G, Benini L, Theocharides T, Vijaykrishnan N, Irwin M (2005) Analysis of Error Recovery Schemes for Networks on Chips. IEEE Des Test Comput 22(5):434–442CrossRef Murali S, De Micheli G, Benini L, Theocharides T, Vijaykrishnan N, Irwin M (2005) Analysis of Error Recovery Schemes for Networks on Chips. IEEE Des Test Comput 22(5):434–442CrossRef
17.
Zurück zum Zitat Pande PP, Ganguly A, Feero B, Belzer B, Grecu C (2006) Design of Low power & Reliable Networks on Chip through Joint Crosstalk Avoidance and Forward Error Correction Coding. Proceedings of 21st IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT 06), 4th–6th October Pande PP, Ganguly A, Feero B, Belzer B, Grecu C (2006) Design of Low power & Reliable Networks on Chip through Joint Crosstalk Avoidance and Forward Error Correction Coding. Proceedings of 21st IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT 06), 4th–6th October
18.
Zurück zum Zitat Pande PP, Grecu C, Jones M, Ivanov A, Saleh R (2005) Performance Evaluation and Design Trade-offs for Network on Chip Interconnect Architectures. IEEE Trans Comput 54(8):1025–1040, AugustCrossRef Pande PP, Grecu C, Jones M, Ivanov A, Saleh R (2005) Performance Evaluation and Design Trade-offs for Network on Chip Interconnect Architectures. IEEE Trans Comput 54(8):1025–1040, AugustCrossRef
19.
Zurück zum Zitat Pande PP, Zhu H, Ganguly A, Grecu C (2006) Crosstalk-aware Energy Reduction in NoC Communication Fabrics. Proceedings of IEEE International SOC Conference, SOCC 2006 225–228, 24th–27th September Pande PP, Zhu H, Ganguly A, Grecu C (2006) Crosstalk-aware Energy Reduction in NoC Communication Fabrics. Proceedings of IEEE International SOC Conference, SOCC 2006 225–228, 24th–27th September
20.
Zurück zum Zitat Pande PP, Zhu H, Ganguly A, Grecu C (2006) Energy Reduction through Crosstalk Avoidance Coding in NoC Paradigm. Proceedings of 9th Euromicro Conference on Digital System Design, DSD 2006, 30th August-1st Pande PP, Zhu H, Ganguly A, Grecu C (2006) Energy Reduction through Crosstalk Avoidance Coding in NoC Paradigm. Proceedings of 9th Euromicro Conference on Digital System Design, DSD 2006, 30th August-1st
21.
Zurück zum Zitat Park K, Willinger W (2000) Self-similar Network Traffic and Performance Evaluation, John Wiley & Sons Park K, Willinger W (2000) Self-similar Network Traffic and Performance Evaluation, John Wiley & Sons
22.
Zurück zum Zitat Patel KN, Markov IL (2003) Error-Correction and Crosstalk Avoidance in DSM Busses,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Special Issue for System Level Interconnect Prediction (SLIP) 1–5 Patel KN, Markov IL (2003) Error-Correction and Crosstalk Avoidance in DSM Busses,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Special Issue for System Level Interconnect Prediction (SLIP) 1–5
23.
Zurück zum Zitat Rossi D et al (2002) Coding scheme for low energy consumption fault-tolerant bus. Proceedings of 8th IEEE International On-Line Testing Workshop 8–12 Rossi D et al (2002) Coding scheme for low energy consumption fault-tolerant bus. Proceedings of 8th IEEE International On-Line Testing Workshop 8–12
24.
Zurück zum Zitat Rossi D et al (2003) Power Consumption of Fault Tolerant Codes: the Active Elements. Proceedings of 9th IEEE International On-Line Testing Symposium 61–67 Rossi D et al (2003) Power Consumption of Fault Tolerant Codes: the Active Elements. Proceedings of 9th IEEE International On-Line Testing Symposium 61–67
25.
Zurück zum Zitat Rossi D, Metra C, Nieuwland AK, Katoch A (2005) Exploiting ECC Redundancy to Minimize Crosstalk Impact. IEEE Des Test Comput 22(1):59–70, JanCrossRef Rossi D, Metra C, Nieuwland AK, Katoch A (2005) Exploiting ECC Redundancy to Minimize Crosstalk Impact. IEEE Des Test Comput 22(1):59–70, JanCrossRef
26.
Zurück zum Zitat Rossi D, Metra C, Nieuwland AK, Katoch A (2005) New ECC for Crosstalk Effect Minimization. IEEE Des Test Comput 22(4):340–348, July–AugCrossRef Rossi D, Metra C, Nieuwland AK, Katoch A (2005) New ECC for Crosstalk Effect Minimization. IEEE Des Test Comput 22(4):340–348, July–AugCrossRef
27.
Zurück zum Zitat Shang L, Peh LS, Jha NK (2003) Dynamic voltage scaling with links for power optimization of interconnection networks. Proceedings of the 9th International Symposium on High Performance Computer Architecture (HPCA-9) 91–102, 8–12 Feb Shang L, Peh LS, Jha NK (2003) Dynamic voltage scaling with links for power optimization of interconnection networks. Proceedings of the 9th International Symposium on High Performance Computer Architecture (HPCA-9) 91–102, 8–12 Feb
28.
Zurück zum Zitat Soteriou V, LS Peh (2004) Design-space exploration of power-aware on/off interconnection networks. Proceedings of IEEE International Conference on Computer Design (ICCD) 510–517, 11–13 Oct Soteriou V, LS Peh (2004) Design-space exploration of power-aware on/off interconnection networks. Proceedings of IEEE International Conference on Computer Design (ICCD) 510–517, 11–13 Oct
29.
Zurück zum Zitat Sotiriadis PP, Chandrakasan AP (2002) A bus energy model for deep submicron technology. IEEE Trans Very Large Scale Integr (VLSI) Syst 10(3):341–350, JuneCrossRef Sotiriadis PP, Chandrakasan AP (2002) A bus energy model for deep submicron technology. IEEE Trans Very Large Scale Integr (VLSI) Syst 10(3):341–350, JuneCrossRef
30.
Zurück zum Zitat Sridhara SR, Shanbhag NR (2005) Coding for System-on-Chip Networks: A Unified Framework. IEEE Trans Very Large Scale Integr (TVLSI) Syst 13(6):655–667, JuneCrossRef Sridhara SR, Shanbhag NR (2005) Coding for System-on-Chip Networks: A Unified Framework. IEEE Trans Very Large Scale Integr (TVLSI) Syst 13(6):655–667, JuneCrossRef
31.
Zurück zum Zitat Stan MR, Burleson WP (1997) Low-power encodings for global communication in CMOS VLSI. IEEE Trans Very Large Scale Integr (TVLSI) Syst 5(4):444–455, DecCrossRef Stan MR, Burleson WP (1997) Low-power encodings for global communication in CMOS VLSI. IEEE Trans Very Large Scale Integr (TVLSI) Syst 5(4):444–455, DecCrossRef
32.
Zurück zum Zitat Victor B, Keutzer K (2001) Bus Encoding to Prevent Crosstalk Delay. Proceedings of IEEE International conference on Computer Aided Design (ICCAD) 57–63, 4–8 Nov Victor B, Keutzer K (2001) Bus Encoding to Prevent Crosstalk Delay. Proceedings of IEEE International conference on Computer Aided Design (ICCAD) 57–63, 4–8 Nov
Metadaten
Titel
Design of Low Power & Reliable Networks on Chip Through Joint Crosstalk Avoidance and Multiple Error Correction Coding
verfasst von
Amlan Ganguly
Partha Pratim Pande
Benjamin Belzer
Cristian Grecu
Publikationsdatum
01.06.2008
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 1-3/2008
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-007-5035-1

Weitere Artikel der Ausgabe 1-3/2008

Journal of Electronic Testing 1-3/2008 Zur Ausgabe

Acknowledgments

List of 2007 Reviewers