Skip to main content
Erschienen in: Journal of Electronic Testing 5/2017

09.08.2017

Efficient Techniques for Fault Detection and Correction of Reversible Circuits

verfasst von: Hafiz Md. Hasan Babu, Md. Solaiman Mia, Ashis Kumer Biswas

Erschienen in: Journal of Electronic Testing | Ausgabe 5/2017

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

It is very important to detect and correct faults for ensuring the validity and reliability of reversible circuits. Test vectors play an important role to detect as well as correct the faults in the circuits. The optimum number of test vector implies the more capabilities for detecting several types of faults in the circuits. In this paper, we have proposed an algorithm for generating optimum test vectors. We have shown that the proposed algorithm generates optimum test vectors with the least complexity of time as compared to existing methods, i.e., we have proved that the proposed algorithm requires O(log 2 N) time, whereas the best known existing method requires O(N. log 2 N) time, where N is the number of inputs. We have also proposed another algorithm for detecting faults using the generated test vectors. This proposed method can detect more faults than existing ones. We have proved that the proposed fault detection algorithm requires least time complexity as compared to the best known existing methods, i.e., the proposed algorithm requires O(d. 1/N) time, whereas the best known existing methods require O(d. N) time, where N is the number of inputs and d is the number of gates in a reversible circuit. Finally, we have proposed another algorithm for correcting the detected faults. We have also proved that the proposed methods require the least time complexity as compared to the best known existing methods. In addition, the experimental results using benchmark circuits show the efficiency of the proposed methods.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
1.
Zurück zum Zitat Agarwal VD (1981) An information theoretic approach to digital fault testing. IEEE Trans Con Comp, 582–587 Agarwal VD (1981) An information theoretic approach to digital fault testing. IEEE Trans Con Comp, 582–587
2.
Zurück zum Zitat Allen JS, Biamonte JD, Perkowsky MA (2005) ATPG for Reversible Circuits using Technology Related Fault Models. Proc 7th Int Symp on Representations and Methodology of Future Computing Technologies, RM2005, Tokyo, Japan 2005, 1-8 Allen JS, Biamonte JD, Perkowsky MA (2005) ATPG for Reversible Circuits using Technology Related Fault Models. Proc 7th Int Symp on Representations and Methodology of Future Computing Technologies, RM2005, Tokyo, Japan 2005, 1-8
3.
Zurück zum Zitat Babu HMH, Islam MR, Chowdhury SMA, Chowdhury AR (2004) Synthesis of Full-Adder Circuit Using Reversible Logic. Proc 17th Int Conf VLSI Des, 757–760 Babu HMH, Islam MR, Chowdhury SMA, Chowdhury AR (2004) Synthesis of Full-Adder Circuit Using Reversible Logic. Proc 17th Int Conf VLSI Des, 757–760
4.
Zurück zum Zitat Barshan M, Bahramnejad S, Kalantary Z (2011) A Fault Detection Method for Reversible Circuits. J Adv Math Comput Methods 1(1):15–20 Barshan M, Bahramnejad S, Kalantary Z (2011) A Fault Detection Method for Reversible Circuits. J Adv Math Comput Methods 1(1):15–20
5.
Zurück zum Zitat Baumann R (2005) Soft Errors in Advanced Computer Systems. IEEE Des and Test of Computers 22(3):258–266CrossRef Baumann R (2005) Soft Errors in Advanced Computer Systems. IEEE Des and Test of Computers 22(3):258–266CrossRef
7.
Zurück zum Zitat Biamonte JD, Allen JS, Lukac M, Perkowsky M (2004) Principles of Quantum Fault Detection. McNair Res Journal, USA, 1–12 Biamonte JD, Allen JS, Lukac M, Perkowsky M (2004) Principles of Quantum Fault Detection. McNair Res Journal, USA, 1–12
8.
Zurück zum Zitat Biamonte JD, Allen JS, Perkowsky MA (2010) Fault models for quantum mechanical switching networks. J Electron Test Theory Appl 26(5):499–511CrossRef Biamonte JD, Allen JS, Perkowsky MA (2010) Fault models for quantum mechanical switching networks. J Electron Test Theory Appl 26(5):499–511CrossRef
9.
Zurück zum Zitat Biswas AK, Hasan MM, Chowdhury AR, Babu HMH (2008) Efficient Approaches for designing reversible binary coded decimal adders. Microelectron J 39(12):1693–1703CrossRef Biswas AK, Hasan MM, Chowdhury AR, Babu HMH (2008) Efficient Approaches for designing reversible binary coded decimal adders. Microelectron J 39(12):1693–1703CrossRef
10.
Zurück zum Zitat Bubna M, Goyal N, Sengupta I (2007) A DFT Methodology for Detecting Bridging Faults in Reversible Logic Circuits. IEEE Region 10 Conf, 1–4 Bubna M, Goyal N, Sengupta I (2007) A DFT Methodology for Detecting Bridging Faults in Reversible Logic Circuits. IEEE Region 10 Conf, 1–4
11.
Zurück zum Zitat Fang-Ying X, Han-Yu C, Wen-jie L, Zhi-qiang L (2008) Fault Detection for Single and Multiple Missing-gate Faults in Reversible Circuits. IEEE World Cong Computational Intelligence, 131–135 Fang-Ying X, Han-Yu C, Wen-jie L, Zhi-qiang L (2008) Fault Detection for Single and Multiple Missing-gate Faults in Reversible Circuits. IEEE World Cong Computational Intelligence, 131–135
12.
15.
Zurück zum Zitat Hayes JP, Polian I, Becker B (2004) Testing for Missing Gate Faults in Reversible Circuits. Proc. 13th Asian Test Symp., Taiwan, 1-6 Hayes JP, Polian I, Becker B (2004) Testing for Missing Gate Faults in Reversible Circuits. Proc. 13th Asian Test Symp., Taiwan, 1-6
16.
Zurück zum Zitat Hoffmann DW, Kropf T (2000) Efficient Design Error Correction of Digital Circuits. Proc Int Conf Computer Des, 465–472 Hoffmann DW, Kropf T (2000) Efficient Design Error Correction of Digital Circuits. Proc Int Conf Computer Des, 465–472
17.
Zurück zum Zitat Hung W, Song X, Yang G, Yang J, Perkowski M (2006) Optimal synthesis of multiple output boolean functions using a set of quantum gates by symbolic reachability analysis. IEEE Trans Comput Aided Des Integr Circuits Syst 25(9):1652–1663CrossRef Hung W, Song X, Yang G, Yang J, Perkowski M (2006) Optimal synthesis of multiple output boolean functions using a set of quantum gates by symbolic reachability analysis. IEEE Trans Comput Aided Des Integr Circuits Syst 25(9):1652–1663CrossRef
18.
Zurück zum Zitat James RK, Shahana TK, Jacob JP, Sasi S (2007) Fault Tolerant Error Coding and Detection using Reversible Gates. IEEE Region 10 Conf TENCON, 1–4 James RK, Shahana TK, Jacob JP, Sasi S (2007) Fault Tolerant Error Coding and Detection using Reversible Gates. IEEE Region 10 Conf TENCON, 1–4
19.
Zurück zum Zitat Kunz W, Pradhan DK (1994) Recursive learning: A new implication technique for efficient solutions to CAD-problems: Test, verification and optimization. IEEE Trans Comput Aided Des Integr Circuits Syst 13(9):1149–1158CrossRef Kunz W, Pradhan DK (1994) Recursive learning: A new implication technique for efficient solutions to CAD-problems: Test, verification and optimization. IEEE Trans Comput Aided Des Integr Circuits Syst 13(9):1149–1158CrossRef
21.
Zurück zum Zitat Liu B (2010) Error - Detecting/Correcting - Code - Based Self - Checked/Corrected/Timed Circuits. NASA/ESA Conf Adaptive Hardware and Sys, 66–72 Liu B (2010) Error - Detecting/Correcting - Code - Based Self - Checked/Corrected/Timed Circuits. NASA/ESA Conf Adaptive Hardware and Sys, 66–72
23.
Zurück zum Zitat Mondal J, Mondal B, Kole DK, Rahaman H, Das DK (2015) Boolean Difference Technique for Detecting All Missing Gate Faults in Reversible Circuits. IEEE 18th Int Symp Des and Diagnostics of Electronic Circuits and Sys, 95–98 Mondal J, Mondal B, Kole DK, Rahaman H, Das DK (2015) Boolean Difference Technique for Detecting All Missing Gate Faults in Reversible Circuits. IEEE 18th Int Symp Des and Diagnostics of Electronic Circuits and Sys, 95–98
24.
Zurück zum Zitat Nagamani AN, Abhishek B, Agrawal VK (2015) Deterministic approach for Bridging fault detection in Peres-Fredkin and Toffoli based Reversible circuits. IEEE Int Conf Computational Intelligence and Computing Res, 87–92 Nagamani AN, Abhishek B, Agrawal VK (2015) Deterministic approach for Bridging fault detection in Peres-Fredkin and Toffoli based Reversible circuits. IEEE Int Conf Computational Intelligence and Computing Res, 87–92
25.
Zurück zum Zitat Nagamani AN, Ashwin S, Abhishek B, Agrawal VK (2016) An Exact approach for Complete Test Set Generation of Toffoli-Fredkin-Peres based Reversible Circuits. J Electron Test Theory Appl 32(2):175–196CrossRef Nagamani AN, Ashwin S, Abhishek B, Agrawal VK (2016) An Exact approach for Complete Test Set Generation of Toffoli-Fredkin-Peres based Reversible Circuits. J Electron Test Theory Appl 32(2):175–196CrossRef
26.
Zurück zum Zitat Naseer R, Draper J (2008) Parallel Double Error Correcting Code Design to Mitigate Multi-Bit Upsets in SRAMs. Solid State Circuits Conf, 222–225 Naseer R, Draper J (2008) Parallel Double Error Correcting Code Design to Mitigate Multi-Bit Upsets in SRAMs. Solid State Circuits Conf, 222–225
27.
Zurück zum Zitat Nicolaidis M (2005) Design for Soft Error Mitigation. IEEE Trans Device Mater Reliab 5(3):405–418CrossRef Nicolaidis M (2005) Design for Soft Error Mitigation. IEEE Trans Device Mater Reliab 5(3):405–418CrossRef
28.
Zurück zum Zitat Nielsen MA, Chuang IL (2000) Quantum Computation and Quantum Information. Cambridge Univ Press, CambridgeMATH Nielsen MA, Chuang IL (2000) Quantum Computation and Quantum Information. Cambridge Univ Press, CambridgeMATH
29.
Zurück zum Zitat Pan WD, Nalasani M (2005) Reversible Logic. IEEE Potentials 24(1):38–41CrossRef Pan WD, Nalasani M (2005) Reversible Logic. IEEE Potentials 24(1):38–41CrossRef
31.
Zurück zum Zitat Parhami B (2006) Fault tolerant reversible circuits. Proc 40th Asimolar Conf Sig Sys Comp Pacific Grove, 1726–1729 Parhami B (2006) Fault tolerant reversible circuits. Proc 40th Asimolar Conf Sig Sys Comp Pacific Grove, 1726–1729
32.
Zurück zum Zitat Patel KN, Hayes JP, Markov IL (2003) Fault Testing for Reversible Logic Circuits. Proc. 21st IEEE VLSI Test Symp., USA pp. 410–416 Patel KN, Hayes JP, Markov IL (2003) Fault Testing for Reversible Logic Circuits. Proc. 21st IEEE VLSI Test Symp., USA pp. 410–416
32.
Zurück zum Zitat Patel KN, Hayes JP, Markov IL (2004) Fault Testing for Reversible Circuits. IEEE Trans Computer Aid Des 23(8):1220–1230CrossRef Patel KN, Hayes JP, Markov IL (2004) Fault Testing for Reversible Circuits. IEEE Trans Computer Aid Des 23(8):1220–1230CrossRef
34.
Zurück zum Zitat Perkowski M, Biamonte J, Lukac M (2005) Test Generation and Fault Localization for Quantum Circuits. Proc 35th ISMVL, Canada, 1-7 Perkowski M, Biamonte J, Lukac M (2005) Test Generation and Fault Localization for Quantum Circuits. Proc 35th ISMVL, Canada, 1-7
35.
Zurück zum Zitat Polian I, Hayes JP (2010) Advanced Modeling of Faults in Reversible Circuits. Des and Test Symp, St. Petersburg, Russia, 376–381 Polian I, Hayes JP (2010) Advanced Modeling of Faults in Reversible Circuits. Des and Test Symp, St. Petersburg, Russia, 376–381
36.
Zurück zum Zitat Polian I, Hayes JP, Fiehn T, Becker B (2005) A Family of Logical Fault Models for Reversible Circuits. IEEE Proc 14th Asian Test Symp, 422–427 Polian I, Hayes JP, Fiehn T, Becker B (2005) A Family of Logical Fault Models for Reversible Circuits. IEEE Proc 14th Asian Test Symp, 422–427
37.
Zurück zum Zitat Saligram R (2013) Design and Implementation of Logical Cost Efficient Nanometric Fault Tolerant Reversible BCD Adder. IEEE Annual India Conf 1–6 Saligram R (2013) Design and Implementation of Logical Cost Efficient Nanometric Fault Tolerant Reversible BCD Adder. IEEE Annual India Conf 1–6
38.
Zurück zum Zitat Smoline J, DiVincenzo DP (1996) Five two-qubit gates are sufficient to implement the quantum Fredkin Gate. Phys Rev A 53(4):2855–2856CrossRef Smoline J, DiVincenzo DP (1996) Five two-qubit gates are sufficient to implement the quantum Fredkin Gate. Phys Rev A 53(4):2855–2856CrossRef
39.
Zurück zum Zitat Veneris A, Chang R, Abadir MS, Amiri M (2004) Fault equivalence and diagnostic test generation using ATPG. IEEE Int Symp Circuits and Systems, Canada, 1-4 Veneris A, Chang R, Abadir MS, Amiri M (2004) Fault equivalence and diagnostic test generation using ATPG. IEEE Int Symp Circuits and Systems, Canada, 1-4
40.
Zurück zum Zitat Verma RM (1994) A General Method and a Master Theorem for Divide-and-Conquer Recurrences with Applications. J Algo 16(1):67–79MathSciNetCrossRefMATH Verma RM (1994) A General Method and a Master Theorem for Divide-and-Conquer Recurrences with Applications. J Algo 16(1):67–79MathSciNetCrossRefMATH
41.
Zurück zum Zitat Wille R, Drechsler R (2009) BDD-based synthesis of Reversible Logic for large functions. Proc Des Autom Conf., USA, 270–275 Wille R, Drechsler R (2009) BDD-based synthesis of Reversible Logic for large functions. Proc Des Autom Conf., USA, 270–275
Metadaten
Titel
Efficient Techniques for Fault Detection and Correction of Reversible Circuits
verfasst von
Hafiz Md. Hasan Babu
Md. Solaiman Mia
Ashis Kumer Biswas
Publikationsdatum
09.08.2017
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 5/2017
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-017-5679-4

Weitere Artikel der Ausgabe 5/2017

Journal of Electronic Testing 5/2017 Zur Ausgabe

Neuer Inhalt