Skip to main content
Erschienen in: Journal of Materials Engineering and Performance 3/2015

01.03.2015

Effect of Current Density and Plating Time on Cu Electroplating in TSV and Low Alpha Solder Bumping

verfasst von: Do-Hyun Jung, Ashutosh Sharma, Keong-Heum Kim, Yong-Chul Choo, Jae-Pil Jung

Erschienen in: Journal of Materials Engineering and Performance | Ausgabe 3/2015

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

In this study, copper filling in through-silicon via (TSV) by pulse periodic reverse electroplating and low alpha solder bumping on Cu-filled TSVs was investigated. The via diameter and depth of TSV were 60 and 120 µm, respectively. The experimental results indicated that the thickness of electrodeposited copper layer increased with increasing cathodic current density and plating time. The electroplated Cu in TSV showed a typical bottom-up filling. A defectless, complete, and fast 100% Cu-filled TSV was achieved at cathodic and anodic current densities of −8 and 16 mA/cm2 for a plating time of 4 h, respectively. A sound low alpha solder ball, Sn-1.0 wt.% Ag-0.5 wt.% Cu (SAC 105) with a diameter of 83 µm and height of 66 µm was reflow processed at 245 °C on Cu-filled TSV. The Cu/solder joint interface was subjected to high temperature aging at 85 °C for 150 h, which showed an excellent bonding characteristic with minimum Cu-Sn intermetallic compounds growth.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat T.C. Tsai, W.C. Tsao, W. Lin, C.L. Hsu, C.L. Lin, C.M. Hsu, J.F. Lin, C.C. Huang, and J.Y. Wu, CMP Process Development for the Via-Middle 3D TSV Applications at 28 nm Technology Node, Microelectron. Eng., 2012, 92, p 29–33CrossRef T.C. Tsai, W.C. Tsao, W. Lin, C.L. Hsu, C.L. Lin, C.M. Hsu, J.F. Lin, C.C. Huang, and J.Y. Wu, CMP Process Development for the Via-Middle 3D TSV Applications at 28 nm Technology Node, Microelectron. Eng., 2012, 92, p 29–33CrossRef
2.
Zurück zum Zitat H. Kikuchi, Y. Yamada, A.M. Ali, J. Liang, T. Fukushima, T. Tanaka, and M. Koyangi, Tungsten Through-Silicon Via Technology for Three-Dimensional LSIs, Jpn. J. Appl. Phys., 2008, 47(7), p 2801–2806CrossRef H. Kikuchi, Y. Yamada, A.M. Ali, J. Liang, T. Fukushima, T. Tanaka, and M. Koyangi, Tungsten Through-Silicon Via Technology for Three-Dimensional LSIs, Jpn. J. Appl. Phys., 2008, 47(7), p 2801–2806CrossRef
3.
Zurück zum Zitat J. Van Olmen, C. Huyghebaert, J. Coenen, J.V. Aelst, E. Sleeckx, A.V. Ammel, S. Armini, G. Katti, J. Vaes, W. Dehaene, E. Beyne, and Y. Travaly, Integration Challenges of Copper Through Silicon Via (TSV) Metallization for 3D-Stacked IC Integration, Microelectron. Eng., 2011, 88, p 745–748CrossRef J. Van Olmen, C. Huyghebaert, J. Coenen, J.V. Aelst, E. Sleeckx, A.V. Ammel, S. Armini, G. Katti, J. Vaes, W. Dehaene, E. Beyne, and Y. Travaly, Integration Challenges of Copper Through Silicon Via (TSV) Metallization for 3D-Stacked IC Integration, Microelectron. Eng., 2011, 88, p 745–748CrossRef
4.
Zurück zum Zitat M.H. Roh, S.Y. Park, W.J. Kim, and J.P. Jung, Cu Filing into TSV and Si Dice Stacking for 3 Dimension Packaging, JWJ, 2011, 29(3), p 295–300 (in Korean) M.H. Roh, S.Y. Park, W.J. Kim, and J.P. Jung, Cu Filing into TSV and Si Dice Stacking for 3 Dimension Packaging, JWJ, 2011, 29(3), p 295–300 (in Korean)
5.
Zurück zum Zitat G.B. Hamad, S.R. Hasan, O.A. Mohamed, Y. Savaria, Characterizing, Modeling, and Analyzing Soft Error Propagation in Asynchronous and Synchronous Digital Circuits, Microelectron. Reliab., 2014 (in press) G.B. Hamad, S.R. Hasan, O.A. Mohamed, Y. Savaria, Characterizing, Modeling, and Analyzing Soft Error Propagation in Asynchronous and Synchronous Digital Circuits, Microelectron. Reliab., 2014 (in press)
6.
Zurück zum Zitat S. Kumar, S. Agarwal, and J.P. Jung, Soft Error Issue and Importance of Low Alpha Solders for Microelectronic Packaging, Adv. Rev. Mater. Sci., 2013, 34, p 185–202 S. Kumar, S. Agarwal, and J.P. Jung, Soft Error Issue and Importance of Low Alpha Solders for Microelectronic Packaging, Adv. Rev. Mater. Sci., 2013, 34, p 185–202
7.
Zurück zum Zitat T.H. Tsai and J.H. Huang, Electrochemical Investigations for Copper Electrodeposition of Through-Silicon Via, Microelectron. Eng., 2011, 88, p 195–199CrossRef T.H. Tsai and J.H. Huang, Electrochemical Investigations for Copper Electrodeposition of Through-Silicon Via, Microelectron. Eng., 2011, 88, p 195–199CrossRef
8.
Zurück zum Zitat Z. Patterson, C. Weber, R. Balachandran, R. Gouk, S. Verhaverbeke, and M. Keswani, A Technique for Contactless Copper Electrodeposition for 3D Packaging Applications, ECS Electrochem. Lett., 2014, 3(10), p D41–D43CrossRef Z. Patterson, C. Weber, R. Balachandran, R. Gouk, S. Verhaverbeke, and M. Keswani, A Technique for Contactless Copper Electrodeposition for 3D Packaging Applications, ECS Electrochem. Lett., 2014, 3(10), p D41–D43CrossRef
9.
Zurück zum Zitat I.R. Kim, S.C. Hong, and J.P. Jung, High Speed Cu Filling into Tapered TSV for 3-Dimensional Si Chip Stacking, Korean J. Met. Mater., 2011, 49(5), p 388–394 (in Korean) I.R. Kim, S.C. Hong, and J.P. Jung, High Speed Cu Filling into Tapered TSV for 3-Dimensional Si Chip Stacking, Korean J. Met. Mater., 2011, 49(5), p 388–394 (in Korean)
10.
Zurück zum Zitat S.C. Hong, W.G. Lee, W.J. Kim, J.H. Kim, and J.P. Jung, Reduction of Defects in TSV Filled with Cu by High-Speed 3-Step PPR for 3D Si Chip Stacking, Microelectron. Reliab., 2011, 51, p 2228–2235CrossRef S.C. Hong, W.G. Lee, W.J. Kim, J.H. Kim, and J.P. Jung, Reduction of Defects in TSV Filled with Cu by High-Speed 3-Step PPR for 3D Si Chip Stacking, Microelectron. Reliab., 2011, 51, p 2228–2235CrossRef
11.
Zurück zum Zitat S.C. Hong, W.G. Lee, J.K. Park, W.J. Kim, and J.P. Jung, Cu Filling into TSV and Non-PR Sn Bumping for 3 Dimension Chip Packaging, JWJ, 2011, 29, p 9–13 (in Korean) S.C. Hong, W.G. Lee, J.K. Park, W.J. Kim, and J.P. Jung, Cu Filling into TSV and Non-PR Sn Bumping for 3 Dimension Chip Packaging, JWJ, 2011, 29, p 9–13 (in Korean)
12.
Zurück zum Zitat S.J. Hong, S.C. Hong, W.J. Kim, and J.P. Jung, Copper Filling to TSV (Through-Si-Via) and Simplification of Bumping Process, J. Microelectron. Packag. Soc., 2010, 17(3), p 79–84 (in Korean) S.J. Hong, S.C. Hong, W.J. Kim, and J.P. Jung, Copper Filling to TSV (Through-Si-Via) and Simplification of Bumping Process, J. Microelectron. Packag. Soc., 2010, 17(3), p 79–84 (in Korean)
13.
Zurück zum Zitat L. Hofmann, R. Ecke, S.E. Schulz, and T. Gessner, Investigations Regarding Through Silicon Via Filling for 3D Integration by Periodic Pulse Reverse Plating With and Without Additives, Microelectron. Eng., 2011, 88, p 705–708CrossRef L. Hofmann, R. Ecke, S.E. Schulz, and T. Gessner, Investigations Regarding Through Silicon Via Filling for 3D Integration by Periodic Pulse Reverse Plating With and Without Additives, Microelectron. Eng., 2011, 88, p 705–708CrossRef
14.
Zurück zum Zitat D.R. Frear, J.W. Jang, J.K. Lin, and C. Zhang, Pb-Free Solders for Flip-Chip Interconnects, JOM, 2001, 53(6), p 28–32CrossRef D.R. Frear, J.W. Jang, J.K. Lin, and C. Zhang, Pb-Free Solders for Flip-Chip Interconnects, JOM, 2001, 53(6), p 28–32CrossRef
15.
Zurück zum Zitat M.W. Roberson, P.A. Deane, S. Bonafide, A. Huffman, and S. Nangalia, Conversion Between Standard and Low-Alpha Lead in Solder Bumping Production Lines, J. Electron. Mater., 2000, 29(10), p 1274–1277CrossRef M.W. Roberson, P.A. Deane, S. Bonafide, A. Huffman, and S. Nangalia, Conversion Between Standard and Low-Alpha Lead in Solder Bumping Production Lines, J. Electron. Mater., 2000, 29(10), p 1274–1277CrossRef
16.
Zurück zum Zitat S. Kumar, D.H. Jung, and J.P. Jung, High-Speed Shear Test for Low Alpha Sn-1.0%Ag-0.5%Cu (SAC-105) Solder Ball of Sub-100-μm Dimension for Wafer Level Packaging, IEEE Trans. Compon. Package. Manuf. Technol., 2013, 3(3), p 441–451CrossRef S. Kumar, D.H. Jung, and J.P. Jung, High-Speed Shear Test for Low Alpha Sn-1.0%Ag-0.5%Cu (SAC-105) Solder Ball of Sub-100-μm Dimension for Wafer Level Packaging, IEEE Trans. Compon. Package. Manuf. Technol., 2013, 3(3), p 441–451CrossRef
17.
Zurück zum Zitat I.U. Abhulimen, A. Kamto, Y. Liu, S.L. Burkett, and L. Schaper, Fabrication and Testing of Through-Silicon Vias Used in Three-Dimensional Integration, J. Vac. Sci. Technol. B, 2008, 26, p 1834–1840CrossRef I.U. Abhulimen, A. Kamto, Y. Liu, S.L. Burkett, and L. Schaper, Fabrication and Testing of Through-Silicon Vias Used in Three-Dimensional Integration, J. Vac. Sci. Technol. B, 2008, 26, p 1834–1840CrossRef
18.
Zurück zum Zitat A. Kamto, Y. Liu, L. Schaper, and S.L. Burkett, Reliability Study of Through-Silicon Via (TSV) Copper Filled Interconnects, Thin Solid Films, 2009, 518, p 1614–1619CrossRef A. Kamto, Y. Liu, L. Schaper, and S.L. Burkett, Reliability Study of Through-Silicon Via (TSV) Copper Filled Interconnects, Thin Solid Films, 2009, 518, p 1614–1619CrossRef
19.
Zurück zum Zitat K.-S. Kim, Y.-C. Lee, J.-H. Ahn, J.Y. Song, C.D. Yoo, and S.-B. Jung, Effect of Process Parameters on TSV Formation Using Deep Reactive Ion Etching, Korean J. Met. Mater., 2010, 48, p 1028–1034CrossRef K.-S. Kim, Y.-C. Lee, J.-H. Ahn, J.Y. Song, C.D. Yoo, and S.-B. Jung, Effect of Process Parameters on TSV Formation Using Deep Reactive Ion Etching, Korean J. Met. Mater., 2010, 48, p 1028–1034CrossRef
20.
Zurück zum Zitat H.-C. Liu, Y.-H. Lin, and W. Hsu, Sidewall Roughness Control in Advanced Silicon Etch Process, Microsyst. Technol., 2003, 10, p 29–34CrossRef H.-C. Liu, Y.-H. Lin, and W. Hsu, Sidewall Roughness Control in Advanced Silicon Etch Process, Microsyst. Technol., 2003, 10, p 29–34CrossRef
21.
Zurück zum Zitat J. Mendez, R. Akolkar, and U. Landau, Polyether Suppressors Enabling Copper Metallization of High Aspect Ratio Interconnects, J. Electrochem. Soc., 2009, 156, p D474–D479CrossRef J. Mendez, R. Akolkar, and U. Landau, Polyether Suppressors Enabling Copper Metallization of High Aspect Ratio Interconnects, J. Electrochem. Soc., 2009, 156, p D474–D479CrossRef
22.
Zurück zum Zitat B.N. Park, S.C. Bae, S.H. Son, J.H. Lee, and S.Y. Choi, Film Properties of Copper Grown by the Electroplating Process, J. Korean Phys. Soc., 2001, 38(3), p 232–235 B.N. Park, S.C. Bae, S.H. Son, J.H. Lee, and S.Y. Choi, Film Properties of Copper Grown by the Electroplating Process, J. Korean Phys. Soc., 2001, 38(3), p 232–235
23.
Zurück zum Zitat Z. Liu, M. Zheng, R.D. Hilty, and A.C. West, J. Electrochem. Soc., 2010, 157(7), p D411–D416CrossRef Z. Liu, M. Zheng, R.D. Hilty, and A.C. West, J. Electrochem. Soc., 2010, 157(7), p D411–D416CrossRef
24.
Zurück zum Zitat S.S. Djokic, N.D. Nikolic, P.M. Zivkovic, K.I. Popov, and N.S. Djokic, Electroless Deposition and Electrodeposition of Metallic Powders: A Comparison, ECS Trans., 2011, 33, p 7–31CrossRef S.S. Djokic, N.D. Nikolic, P.M. Zivkovic, K.I. Popov, and N.S. Djokic, Electroless Deposition and Electrodeposition of Metallic Powders: A Comparison, ECS Trans., 2011, 33, p 7–31CrossRef
25.
Zurück zum Zitat A. Sharma, S. Bhattacharya, S. Das, and K. Das, A Study on the Effect of Pulse Electrodeposition Parameters on the Morphology of Pure Tin Coatings, Metall. Mater. Trans. A, 2014, 45, p 4610–4622CrossRef A. Sharma, S. Bhattacharya, S. Das, and K. Das, A Study on the Effect of Pulse Electrodeposition Parameters on the Morphology of Pure Tin Coatings, Metall. Mater. Trans. A, 2014, 45, p 4610–4622CrossRef
26.
Zurück zum Zitat C. Okoro, R. Labie, K. Vanstreels, A. Franquet, M. Gonzalez, B. Vandevelde, E. Beyne, D. Vandepitte, and B. Verlinden, Impact of the Electrodeposition Chemistry Used for TSV Filling on the Microstructural and Thermo-mechanical Response of Cu, J. Mater. Sci., 2011, 46, p 3868–3882CrossRef C. Okoro, R. Labie, K. Vanstreels, A. Franquet, M. Gonzalez, B. Vandevelde, E. Beyne, D. Vandepitte, and B. Verlinden, Impact of the Electrodeposition Chemistry Used for TSV Filling on the Microstructural and Thermo-mechanical Response of Cu, J. Mater. Sci., 2011, 46, p 3868–3882CrossRef
27.
Zurück zum Zitat Y. Kaneko, Y. Hiwatari, K. Ohara, and F. Asa, Kinetic Monte Carlo Simulation of Via Filling: Role of Chloride Ions, ECS Trans., 2008, 13(12), p 1–9CrossRef Y. Kaneko, Y. Hiwatari, K. Ohara, and F. Asa, Kinetic Monte Carlo Simulation of Via Filling: Role of Chloride Ions, ECS Trans., 2008, 13(12), p 1–9CrossRef
28.
Zurück zum Zitat T. Kobayashi, J. Kawasaki, K. Mihara, and H. Honma, Via-Filling Using Electroplating for Build-Up PCBs, Electrochem. Acta, 2001, 47, p 85–89CrossRef T. Kobayashi, J. Kawasaki, K. Mihara, and H. Honma, Via-Filling Using Electroplating for Build-Up PCBs, Electrochem. Acta, 2001, 47, p 85–89CrossRef
Metadaten
Titel
Effect of Current Density and Plating Time on Cu Electroplating in TSV and Low Alpha Solder Bumping
verfasst von
Do-Hyun Jung
Ashutosh Sharma
Keong-Heum Kim
Yong-Chul Choo
Jae-Pil Jung
Publikationsdatum
01.03.2015
Verlag
Springer US
Erschienen in
Journal of Materials Engineering and Performance / Ausgabe 3/2015
Print ISSN: 1059-9495
Elektronische ISSN: 1544-1024
DOI
https://doi.org/10.1007/s11665-015-1394-4

Weitere Artikel der Ausgabe 3/2015

Journal of Materials Engineering and Performance 3/2015 Zur Ausgabe

    Marktübersichten

    Die im Laufe eines Jahres in der „adhäsion“ veröffentlichten Marktübersichten helfen Anwendern verschiedenster Branchen, sich einen gezielten Überblick über Lieferantenangebote zu verschaffen.