Skip to main content

2014 | OriginalPaper | Buchkapitel

STT-Based Non-Volatile Logic-in-Memory Framework

verfasst von : Jayita Das, Syed M. Alam, Sanjukta Bhanja

Erschienen in: Field-Coupled Nanocomputing

Verlag: Springer Berlin Heidelberg

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

This work describes an integration of logic within the Spin Transfer Torque Magnetoresistive RAM (STT-MRAM) framework. For memory, a minimum separation between the cells is required to ensure bit-to-bit independency. For logic that relies on magnetostatic coupling, a maximum separation is allowed between magnetic cells for effective computation. Integration of the two functionalities therefore requires meeting the orthogonal spatial needs of separation. In this work the technological challenges of this integration are first described followed by the specifications of the new STT-MRAM based logic-in-memory architecture. How a spin transfer torque based control, also called clock, can tune the architecture between logic and memory modes is next described. A reference free variability tolerant differential read scheme leveraging the integration is presented. This logic-in-memory framework is also an integration between magnetic and CMOS planes. Finally, a logic partitioning between the two planes is described that can significantly improve the performance metrics.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Frank, D.J., Dennard, R.H., Nowak, E., Solomon, P.M., Taur, Y., Wong, H.-S.P.: Device scaling limits of Si MOSFET’s and their application dependencies. Proc. IEEE 89(3), 259–288 (2001)CrossRef Frank, D.J., Dennard, R.H., Nowak, E., Solomon, P.M., Taur, Y., Wong, H.-S.P.: Device scaling limits of Si MOSFET’s and their application dependencies. Proc. IEEE 89(3), 259–288 (2001)CrossRef
2.
Zurück zum Zitat Slonczewski, J.C.: Current-driven excitation of magnetic multilayers. J. Magn. Magn. Mater. 159(1), L1–L7 (1996)CrossRef Slonczewski, J.C.: Current-driven excitation of magnetic multilayers. J. Magn. Magn. Mater. 159(1), L1–L7 (1996)CrossRef
3.
Zurück zum Zitat Parkin, S.S., Kaiser, C., Panchula, A., Rice, P.M., Hughes, B., Samant, M., Yang, S.-H.: Giant tunnelling magnetoresistance at room temperature with MgO (100) tunnel barriers. Nat. Mater. 3(12), 862–867 (2004)CrossRef Parkin, S.S., Kaiser, C., Panchula, A., Rice, P.M., Hughes, B., Samant, M., Yang, S.-H.: Giant tunnelling magnetoresistance at room temperature with MgO (100) tunnel barriers. Nat. Mater. 3(12), 862–867 (2004)CrossRef
5.
Zurück zum Zitat Cowburn, R., Welland, M.: Room temperature magnetic quantum cellular automata. Science 287(5457), 1466–1468 (2000)CrossRef Cowburn, R., Welland, M.: Room temperature magnetic quantum cellular automata. Science 287(5457), 1466–1468 (2000)CrossRef
6.
Zurück zum Zitat Imre, A., Csaba, G., Ji, L., Orlov, A., Bernstein, G.H., Porod, W.: Majority logic gate for magnetic quantum-dot cellular automata. Science 311(5758), 205–208 (2006)CrossRef Imre, A., Csaba, G., Ji, L., Orlov, A., Bernstein, G.H., Porod, W.: Majority logic gate for magnetic quantum-dot cellular automata. Science 311(5758), 205–208 (2006)CrossRef
7.
Zurück zum Zitat Salahuddin, S.: Current induced switching of ferromagnets for low-power memory applications. In: ISQED Symposium, Tutorial (2011) Salahuddin, S.: Current induced switching of ferromagnets for low-power memory applications. In: ISQED Symposium, Tutorial (2011)
8.
Zurück zum Zitat Zhu, J.-G.J., Park, C.: Magnetic tunnel junctions. Mater. Today 9(11), 36–45 (2006)CrossRef Zhu, J.-G.J., Park, C.: Magnetic tunnel junctions. Mater. Today 9(11), 36–45 (2006)CrossRef
9.
Zurück zum Zitat Yuasa, S., Djayaprawira, D.: Giant tunnel magnetoresistance in magnetic tunnel junctions with a crystalline MgO (0 0 1) barrier. J. Phys. D: Appl. Phys. 40(21), R337 (2007)CrossRef Yuasa, S., Djayaprawira, D.: Giant tunnel magnetoresistance in magnetic tunnel junctions with a crystalline MgO (0 0 1) barrier. J. Phys. D: Appl. Phys. 40(21), R337 (2007)CrossRef
10.
Zurück zum Zitat Thomson, W.: Tunneling between ferromagnetic films. Proc. R. Soc. Lond. 8, 546 (1856)CrossRef Thomson, W.: Tunneling between ferromagnetic films. Proc. R. Soc. Lond. 8, 546 (1856)CrossRef
11.
Zurück zum Zitat Julliere, M.: Tunneling between ferromagnetic films. Phys. Lett. A 54(3), 225–226 (1975)CrossRef Julliere, M.: Tunneling between ferromagnetic films. Phys. Lett. A 54(3), 225–226 (1975)CrossRef
12.
Zurück zum Zitat Yuasa, S., Fukushima, A., Kubota, H., Suzuki, Y., Ando, K., et al.: Giant tunneling magnetoresistance up to 410% at room temperature in fully epitaxial Co/MgO/Co magnetic tunnel junctions with bcc Co (001) electrodes. Appl. Phys. Lett. 89(4), 42505–42505 (2006)CrossRef Yuasa, S., Fukushima, A., Kubota, H., Suzuki, Y., Ando, K., et al.: Giant tunneling magnetoresistance up to 410% at room temperature in fully epitaxial Co/MgO/Co magnetic tunnel junctions with bcc Co (001) electrodes. Appl. Phys. Lett. 89(4), 42505–42505 (2006)CrossRef
13.
Zurück zum Zitat Maekawa, S. (ed.): Concepts in Spin Electronics. Oxford Science Publications, Oxford (2006)MATH Maekawa, S. (ed.): Concepts in Spin Electronics. Oxford Science Publications, Oxford (2006)MATH
14.
Zurück zum Zitat Lin, C., Kang, S., Wang, Y., Lee, K., Zhu, X., Chen, W., Li, X., Hsu, W., Kao, Y., Liu, M., et al.: 45 nm low power CMOS logic compatible embedded STT MRAM utilizing a reverse-connection 1T/1MTJ cell. In: 2009 IEEE International Electron Devices Meeting (IEDM), pp. 1–4. IEEE (2009) Lin, C., Kang, S., Wang, Y., Lee, K., Zhu, X., Chen, W., Li, X., Hsu, W., Kao, Y., Liu, M., et al.: 45 nm low power CMOS logic compatible embedded STT MRAM utilizing a reverse-connection 1T/1MTJ cell. In: 2009 IEEE International Electron Devices Meeting (IEDM), pp. 1–4. IEEE (2009)
15.
Zurück zum Zitat Chen, E., Apalkov, D., Diao, Z., Driskill-Smith, A., Druist, D., Lottis, D., Nikitin, V., Tang, X., Watts, S., Wang, S., et al.: Advances and future prospects of spin-transfer torque random access memory. IEEE Trans. Magn. 46(6), 1873–1878 (2010)CrossRef Chen, E., Apalkov, D., Diao, Z., Driskill-Smith, A., Druist, D., Lottis, D., Nikitin, V., Tang, X., Watts, S., Wang, S., et al.: Advances and future prospects of spin-transfer torque random access memory. IEEE Trans. Magn. 46(6), 1873–1878 (2010)CrossRef
16.
Zurück zum Zitat Vacca, M., Graziano, M., Zamboni, M.: Majority voter full characterization for nanomagnet logic circuits. IEEE Trans. Nanotechnol. 11(5), 940–947 (2012)CrossRef Vacca, M., Graziano, M., Zamboni, M.: Majority voter full characterization for nanomagnet logic circuits. IEEE Trans. Nanotechnol. 11(5), 940–947 (2012)CrossRef
17.
Zurück zum Zitat Niemier, M., Alam, M., Hu, X., Bernstein, G., Porod, W., Putney, M., DeAngelis, J.: Clocking structures and power analysis for nanomagnet-based logic devices. In: ISLPED, pp. 26–31. ACM, New York (2007) Niemier, M., Alam, M., Hu, X., Bernstein, G., Porod, W., Putney, M., DeAngelis, J.: Clocking structures and power analysis for nanomagnet-based logic devices. In: ISLPED, pp. 26–31. ACM, New York (2007)
18.
Zurück zum Zitat Graziano, M., Vacca, M., Chiolerio, A., Zamboni, M.: An NCL-HDL snake-clock-based magnetic QCA architecture. IEEE Trans. Nanotechnol. 10(5), 1141–1149 (2011)CrossRef Graziano, M., Vacca, M., Chiolerio, A., Zamboni, M.: An NCL-HDL snake-clock-based magnetic QCA architecture. IEEE Trans. Nanotechnol. 10(5), 1141–1149 (2011)CrossRef
19.
Zurück zum Zitat Pulecio, J.F., Bhanja, S.: Magnetic cellular automata coplanar cross wire systems. J. Appl. Phys. 107(3), 034308–034308-5 (2010)CrossRef Pulecio, J.F., Bhanja, S.: Magnetic cellular automata coplanar cross wire systems. J. Appl. Phys. 107(3), 034308–034308-5 (2010)CrossRef
20.
Zurück zum Zitat Cowburn, R., Adeyeye, A., Welland, M.: Controlling magnetic ordering in coupled nanomagnet arrays. New J. Phys. 1(1), 16 (1999)CrossRef Cowburn, R., Adeyeye, A., Welland, M.: Controlling magnetic ordering in coupled nanomagnet arrays. New J. Phys. 1(1), 16 (1999)CrossRef
21.
Zurück zum Zitat Kumari, A., Bhanja, S.: Landauer clocking for magnetic cellular automata (MCA) arrays. IEEE Trans. Very Large Scale Integr. VLSI Syst. 19(4), 714–717 (2011)CrossRef Kumari, A., Bhanja, S.: Landauer clocking for magnetic cellular automata (MCA) arrays. IEEE Trans. Very Large Scale Integr. VLSI Syst. 19(4), 714–717 (2011)CrossRef
22.
Zurück zum Zitat Csaba, G., Lugli, P., Csurgay, A., Porod, W.: Simulation of power gain and dissipation in field-coupled nanomagnets. J. Comput. Electron. 4(1), 105–110 (2005)CrossRef Csaba, G., Lugli, P., Csurgay, A., Porod, W.: Simulation of power gain and dissipation in field-coupled nanomagnets. J. Comput. Electron. 4(1), 105–110 (2005)CrossRef
23.
Zurück zum Zitat Das, J., Alam, S.M., Bhanja, S.: Low power CMOS-magnetic nano-logic with increased bit controllability. In: 2011 11th IEEE Conference on Nanotechnology (IEEE-NANO), pp. 1261–1266. IEEE (2011) Das, J., Alam, S.M., Bhanja, S.: Low power CMOS-magnetic nano-logic with increased bit controllability. In: 2011 11th IEEE Conference on Nanotechnology (IEEE-NANO), pp. 1261–1266. IEEE (2011)
24.
Zurück zum Zitat Das, J., Alam, S., Bhanja, S.: Ultra-low power hybrid CMOS-magnetic logic architecture. IEEE TCAS-I 59, 2008–2016 (2012)MathSciNet Das, J., Alam, S., Bhanja, S.: Ultra-low power hybrid CMOS-magnetic logic architecture. IEEE TCAS-I 59, 2008–2016 (2012)MathSciNet
25.
Zurück zum Zitat Das, J., Alam, S.M., Bhanja, S.: Nanoelectronic Device Applications Handbook, Chap. 60, vol. 16, 1st edn. CRC Press Llc, Boca Raton (2013) Das, J., Alam, S.M., Bhanja, S.: Nanoelectronic Device Applications Handbook, Chap. 60, vol. 16, 1st edn. CRC Press Llc, Boca Raton (2013)
26.
Zurück zum Zitat International technology roadmap for semiconductor (2009) International technology roadmap for semiconductor (2009)
27.
Zurück zum Zitat Donahue, M., Porter, D.: Oommf user’s guide, version 1.0, interagency report nistir 6376. NIST, Gaithersburg, MD (1999) Donahue, M., Porter, D.: Oommf user’s guide, version 1.0, interagency report nistir 6376. NIST, Gaithersburg, MD (1999)
28.
Zurück zum Zitat Scheinfein, M.R.: LLG micromagnetics simulator Scheinfein, M.R.: LLG micromagnetics simulator
29.
Zurück zum Zitat Karunaratne, D.K., Bhanja, S.: Study of single layer and multilayer nano-magnetic logic architectures. J. Appl. Phys. 111(7), 07A928–07A928-3 (2012)CrossRef Karunaratne, D.K., Bhanja, S.: Study of single layer and multilayer nano-magnetic logic architectures. J. Appl. Phys. 111(7), 07A928–07A928-3 (2012)CrossRef
30.
Zurück zum Zitat Das, J., Alam, S., Bhanja, S.: Low power magnetic quantum cellular automata realization using magnetic multi-layer structures. IEEE JETCAS 1, 267–276 (2011) Das, J., Alam, S., Bhanja, S.: Low power magnetic quantum cellular automata realization using magnetic multi-layer structures. IEEE JETCAS 1, 267–276 (2011)
31.
Zurück zum Zitat Das, J., Alam, S.M., Bhanja, S.: Non-destructive variability tolerant differential read for non-volatile logic. In: Proceedings of the 55th International Midwest Symposium on Circuits and Systems (2012) Das, J., Alam, S.M., Bhanja, S.: Non-destructive variability tolerant differential read for non-volatile logic. In: Proceedings of the 55th International Midwest Symposium on Circuits and Systems (2012)
32.
Zurück zum Zitat Pulecio, J., Pendru, P., Kumari, A., Bhanja, S.: Magnetic cellular automata wire architectures. IEEE Trans. Nanotechnol. 10(6), 1243–1248 (2011)CrossRef Pulecio, J., Pendru, P., Kumari, A., Bhanja, S.: Magnetic cellular automata wire architectures. IEEE Trans. Nanotechnol. 10(6), 1243–1248 (2011)CrossRef
33.
Zurück zum Zitat Bhanja, S., Pulecio, J.: A review of magnetic cellular automata systems. In: 2011 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2373–2376 (2011) Bhanja, S., Pulecio, J.: A review of magnetic cellular automata systems. In: 2011 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2373–2376 (2011)
34.
Zurück zum Zitat Dingler, A., Niemier, M.T., Hu, X.S., Lent, E.: Performance and energy impact of locally controlled NML circuits. J. Emerg. Technol. Comput. Syst. 7, 2:1–2:24 (2011)CrossRef Dingler, A., Niemier, M.T., Hu, X.S., Lent, E.: Performance and energy impact of locally controlled NML circuits. J. Emerg. Technol. Comput. Syst. 7, 2:1–2:24 (2011)CrossRef
35.
Zurück zum Zitat Zhao, H., Lyle, A., Zhang, Y., Amiri, P., Rowlands, G., Zeng, Z., Katine, J., Jiang, H., Galatsis, K., Wang, K., et al.: Low writing energy and sub nanosecond spin torque transfer switching of in-plane magnetic tunnel junction for spin torque transfer random access memory. J. Appl. Phys. 109(7), 07C720–07C720-3 (2011) Zhao, H., Lyle, A., Zhang, Y., Amiri, P., Rowlands, G., Zeng, Z., Katine, J., Jiang, H., Galatsis, K., Wang, K., et al.: Low writing energy and sub nanosecond spin torque transfer switching of in-plane magnetic tunnel junction for spin torque transfer random access memory. J. Appl. Phys. 109(7), 07C720–07C720-3 (2011)
36.
Zurück zum Zitat Das, J., Alam, S., Bhanja, S.: Nano magnetic STT-logic partitioning for optimum performance. IEEE Trans. Very Large Scale Integr. VLSI Syst. 22, 90–98 (2014)CrossRef Das, J., Alam, S., Bhanja, S.: Nano magnetic STT-logic partitioning for optimum performance. IEEE Trans. Very Large Scale Integr. VLSI Syst. 22, 90–98 (2014)CrossRef
37.
Zurück zum Zitat Das, J., Alam, S., Bhanja, S.: A novel design concept for high density hybrid cmos-nanomagnetic circuits. In: 2012 12th IEEE Conference on Nanotechnology (IEEE-NANO), pp. 1–6 (2012) Das, J., Alam, S., Bhanja, S.: A novel design concept for high density hybrid cmos-nanomagnetic circuits. In: 2012 12th IEEE Conference on Nanotechnology (IEEE-NANO), pp. 1–6 (2012)
Metadaten
Titel
STT-Based Non-Volatile Logic-in-Memory Framework
verfasst von
Jayita Das
Syed M. Alam
Sanjukta Bhanja
Copyright-Jahr
2014
Verlag
Springer Berlin Heidelberg
DOI
https://doi.org/10.1007/978-3-662-43722-3_8

Premium Partner