Skip to main content
Erschienen in: Journal of Electronic Testing 4/2013

01.08.2013

Timing-Error-Detecting Dual-Edge-Triggered Flip-Flop

verfasst von: Kazuteru Namba, Takashi Katagiri, Hideo Ito

Erschienen in: Journal of Electronic Testing | Ausgabe 4/2013

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

This paper presents a construction of timing-error-detecting dual-edge-triggered flip-flops (DET-FFs). The proposed FF is based on a conventional DET-FF and a conventional timing error detection method. While the conventional timing error detection uses a transition detector with relatively large area, the proposed FF uses internal signals in a DET-FF as as an alternative to the transition detector. This paper also shows an evaluation result indicating that the proposed FF has smaller area overhead than the simple combination of the conventional DET-FF and timing error detection methods.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
1.
Zurück zum Zitat Agarwal M, Paul BC, Zhang M, Mitra S (2007) Circuit failure prediction and its application to transistor aging. In: Proceedings in IEEE VLSI testing symposium, pp 277–286 Agarwal M, Paul BC, Zhang M, Mitra S (2007) Circuit failure prediction and its application to transistor aging. In: Proceedings in IEEE VLSI testing symposium, pp 277–286
2.
Zurück zum Zitat Alidash HK, Sayedi SM, Saidi H (2010) Low-power state-retention dual edge-triggered pulsed latch. In: Proceedings IEEE Iranian conference electrical engineering, pp 417–420 Alidash HK, Sayedi SM, Saidi H (2010) Low-power state-retention dual edge-triggered pulsed latch. In: Proceedings IEEE Iranian conference electrical engineering, pp 417–420
3.
Zurück zum Zitat Balijepalli A, Sinha S, Cao Y (2007) Compact modeling of carbon nanotube transistor for early stage process-design exploration. In: Proceedings international symposium low power electronics & design, pp 2–7 Balijepalli A, Sinha S, Cao Y (2007) Compact modeling of carbon nanotube transistor for early stage process-design exploration. In: Proceedings international symposium low power electronics & design, pp 2–7
4.
Zurück zum Zitat Bull D, Das S, Shivshankar K, Dasika G, Flautner K, Blaauw D (2010) A power-efficient 32b ARM ISA processor using timing-error detection and correction for transient-error tolerance and adaptation to PVT variation. In: Proceedings in IEEE international solid-state circuits conference, pp 284–285 Bull D, Das S, Shivshankar K, Dasika G, Flautner K, Blaauw D (2010) A power-efficient 32b ARM ISA processor using timing-error detection and correction for transient-error tolerance and adaptation to PVT variation. In: Proceedings in IEEE international solid-state circuits conference, pp 284–285
5.
Zurück zum Zitat Cao Y, Sato T, Sylvester D, Orshansky M, Hu C (2000) New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation. In: Proceedings in IEEE custom integrated circuit conference, pp 201–204 Cao Y, Sato T, Sylvester D, Orshansky M, Hu C (2000) New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation. In: Proceedings in IEEE custom integrated circuit conference, pp 201–204
6.
Zurück zum Zitat Chandra V (2011) Low overhead circuit and method for predicting timing errors. U.S. Patent 2011/0004813 A1 Chandra V (2011) Low overhead circuit and method for predicting timing errors. U.S. Patent 2011/0004813 A1
7.
Zurück zum Zitat Chung W, Lo T, Sachdev M (2002) A comparative analysis of low-power low-voltage dual-edge-triggered flip-flops. IEEE Trans Very Large Scale Integr Syst 10(6):913–918CrossRef Chung W, Lo T, Sachdev M (2002) A comparative analysis of low-power low-voltage dual-edge-triggered flip-flops. IEEE Trans Very Large Scale Integr Syst 10(6):913–918CrossRef
8.
Zurück zum Zitat Das BP, Onodera H (2010) Warning prediction sequential for transient error prevention. In: Proceedings in IEEE international symposium defect & fault tolerance VLSI systems, pp 382–390 Das BP, Onodera H (2010) Warning prediction sequential for transient error prevention. In: Proceedings in IEEE international symposium defect & fault tolerance VLSI systems, pp 382–390
9.
Zurück zum Zitat Devarapalli SV, Zarkesh-Ha P, Suddarth SC (2010) A robust and low power dual data rate (DDR) flip-flop using C-elements. In: Proceedings in IEEE international symposium quality electronic design, pp 147–150 Devarapalli SV, Zarkesh-Ha P, Suddarth SC (2010) A robust and low power dual data rate (DDR) flip-flop using C-elements. In: Proceedings in IEEE international symposium quality electronic design, pp 147–150
10.
Zurück zum Zitat Ernst D, Kim NS, Das S, Pant S, Rao R, Pham T, Ziesler C, Blaauw D, Austin T, Flautner K, Mudge T (2003) Razor: a low-power pipeline based on circuit-level timing speculation. In: Proceedings in IEEE/ACM international symposium microarchitecture, pp 7–18 Ernst D, Kim NS, Das S, Pant S, Rao R, Pham T, Ziesler C, Blaauw D, Austin T, Flautner K, Mudge T (2003) Razor: a low-power pipeline based on circuit-level timing speculation. In: Proceedings in IEEE/ACM international symposium microarchitecture, pp 7–18
11.
Zurück zum Zitat Esmaeili SE, Al-Khalili AJ, Cowan GER (2009) Dual-edge triggered energy recovery DCCER flip-flop for low energy applications. In: Proceedings in IEEE European conference circuit theory design, pp 57–60 Esmaeili SE, Al-Khalili AJ, Cowan GER (2009) Dual-edge triggered energy recovery DCCER flip-flop for low energy applications. In: Proceedings in IEEE European conference circuit theory design, pp 57–60
12.
Zurück zum Zitat Ito H (1998) Timing error detection circuit. U.S. Patent 5,838,172 Ito H (1998) Timing error detection circuit. U.S. Patent 5,838,172
13.
Zurück zum Zitat Mohideen SK, Perinbam JR (2005) Design of low power double edge triggered D FF. In: Proceedings in IEEE international conference India council, pp 450–452 Mohideen SK, Perinbam JR (2005) Design of low power double edge triggered D FF. In: Proceedings in IEEE international conference India council, pp 450–452
14.
Zurück zum Zitat Okumura T, Hashimoto M (2010) Setup time, hold time and clock-to-Q delay computation under dynamic supply noise. In: Proceedings in IEEE custom integrated circuits conference, pp 1–4 Okumura T, Hashimoto M (2010) Setup time, hold time and clock-to-Q delay computation under dynamic supply noise. In: Proceedings in IEEE custom integrated circuits conference, pp 1–4
15.
Zurück zum Zitat Sato T, Kunitake Y (2007) A simple flip-flop circuit for typical-case designs for DFM. In: Proceedings in IEEE international symposium quality electronic design, pp 539– 544 Sato T, Kunitake Y (2007) A simple flip-flop circuit for typical-case designs for DFM. In: Proceedings in IEEE international symposium quality electronic design, pp 539– 544
16.
Zurück zum Zitat Tschanz J, Narendra S, Chen Z, Borkar S, Sachdev M, De V (2001) Comparative delay and energy of single edge-triggered and dual edge-triggered pulsed flip-flops for high-performance microprocessors. In: Proceedings in IEEE international symposium low power electronic design, pp 147–152 Tschanz J, Narendra S, Chen Z, Borkar S, Sachdev M, De V (2001) Comparative delay and energy of single edge-triggered and dual edge-triggered pulsed flip-flops for high-performance microprocessors. In: Proceedings in IEEE international symposium low power electronic design, pp 147–152
17.
Zurück zum Zitat Zhao P, McNeely J, Golconda P, Bayoumi MA, Barcenas RA, Kuang W (2007) Low-power clock branch sharing double-edge triggered flip-flop. IEEE Trans Very Large Scale Integr Syst 15(3):338–345CrossRef Zhao P, McNeely J, Golconda P, Bayoumi MA, Barcenas RA, Kuang W (2007) Low-power clock branch sharing double-edge triggered flip-flop. IEEE Trans Very Large Scale Integr Syst 15(3):338–345CrossRef
18.
Zurück zum Zitat Zhao W, Cao Y (2006) New generation of predictive technology model for sub-45nm early design exploration. IEEE Trans Electron Devices 53(11):2816–2823CrossRef Zhao W, Cao Y (2006) New generation of predictive technology model for sub-45nm early design exploration. IEEE Trans Electron Devices 53(11):2816–2823CrossRef
Metadaten
Titel
Timing-Error-Detecting Dual-Edge-Triggered Flip-Flop
verfasst von
Kazuteru Namba
Takashi Katagiri
Hideo Ito
Publikationsdatum
01.08.2013
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 4/2013
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-013-5392-x

Weitere Artikel der Ausgabe 4/2013

Journal of Electronic Testing 4/2013 Zur Ausgabe

EditorialNotes

Editorial

Neuer Inhalt