Skip to main content
Erschienen in: Journal of Electronic Testing 4/2013

01.08.2013

A Cost-efficient Input Vector Monitoring Concurrent On-line BIST Scheme Based on Multilevel Decoding Logic

verfasst von: Tie-Bin Wu, Heng-Zhu Liu, Peng-Xia Liu, Dong-Sheng Guo, Hai-Ming Sun

Erschienen in: Journal of Electronic Testing | Ausgabe 4/2013

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Input vector monitoring concurrent on-line BIST based on multilevel decoding logic is an attractive approach to reduce hardware overhead. In this paper, a novel optimization scheme is proposed for further reducing the hardware overhead of the decoding structure, which refers to improved decoding, input reduction, and simulated annealing inputs swapping approaches. Furthermore, utilizing similar multilevel decoding logic as the responses verifier, a novel cost-efficient input vector monitoring concurrent on-line BIST scheme is presented. The proposed scheme is applicable to the concurrent on-line testing for the CUT, the detail of which can not be obtained, such as hard IP cores. Experimental results indicate that the proposed optimization approaches can significantly reduce the hardware overhead of the decoding structure, and the proposed scheme costs lower hardware than other existing schemes.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
1.
Zurück zum Zitat Abramovici M, Breuer M, Friedman A (1994) Digital systems testing and testable design. Wiley, New YorkCrossRef Abramovici M, Breuer M, Friedman A (1994) Digital systems testing and testable design. Wiley, New YorkCrossRef
2.
Zurück zum Zitat Biswas S, Das SR, Petriu EM (2006) Space compactor design in VLSI circuits based on graph theoretic concepts. IEEE Trans Instrum Meas 55(4):1106–1118CrossRef Biswas S, Das SR, Petriu EM (2006) Space compactor design in VLSI circuits based on graph theoretic concepts. IEEE Trans Instrum Meas 55(4):1106–1118CrossRef
3.
Zurück zum Zitat Chen CA, Gupta SK (1998) Efficient BIST TPG design and test set compaction via input reduction. IEEE Trans Comput Aided Des Integr Circuits Syst 17(8):692–705CrossRef Chen CA, Gupta SK (1998) Efficient BIST TPG design and test set compaction via input reduction. IEEE Trans Comput Aided Des Integr Circuits Syst 17(8):692–705CrossRef
4.
Zurück zum Zitat Chen J-J, Yang C-K, Lee K-J (2003) Test pattern generation and clock disabling for simultaneous test time and power reduction. IEEE Trans Comput Aided Des Integr Circuits Syst 22(3):363–370CrossRef Chen J-J, Yang C-K, Lee K-J (2003) Test pattern generation and clock disabling for simultaneous test time and power reduction. IEEE Trans Comput Aided Des Integr Circuits Syst 22(3):363–370CrossRef
5.
Zurück zum Zitat Drineas P, Makris Y (2003) Concurrent fault detection in random combinational logic. In: International symposium on quality of electronic design (ISQED), pp 425–430 Drineas P, Makris Y (2003) Concurrent fault detection in random combinational logic. In: International symposium on quality of electronic design (ISQED), pp 425–430
6.
Zurück zum Zitat Drineas P, Makris Y (2003) SPaRe: selective partial replication for concurrent fault-detection in FSMs. IEEE Trans Instrum Meas 52(6):1729–1737CrossRef Drineas P, Makris Y (2003) SPaRe: selective partial replication for concurrent fault-detection in FSMs. IEEE Trans Instrum Meas 52(6):1729–1737CrossRef
8.
Zurück zum Zitat Kirkpatrick S (1993) Optimization by simulated annealing. Science 1993(220):671–680MathSciNet Kirkpatrick S (1993) Optimization by simulated annealing. Science 1993(220):671–680MathSciNet
9.
Zurück zum Zitat Kochte MA, Zoellin C, Wunderlich HJ (2009) Concurrent self-test with partially specified patterns for low test latency and overhead. In: IEEE European test symposium (ETS), pp 53–58 Kochte MA, Zoellin C, Wunderlich HJ (2009) Concurrent self-test with partially specified patterns for low test latency and overhead. In: IEEE European test symposium (ETS), pp 53–58
10.
Zurück zum Zitat Kochte MA, Zoellin C, Wunderlich HJ (2010) Efficient concurrent self-test with partially specified patterns. J Electron Test 2010(26):581–594. doi:10.1007/s10836-010-5167-6CrossRef Kochte MA, Zoellin C, Wunderlich HJ (2010) Efficient concurrent self-test with partially specified patterns. J Electron Test 2010(26):581–594. doi:10.1007/s10836-010-5167-6CrossRef
11.
Zurück zum Zitat Lee HK, Ha DS (1993) On the generation of test patterns for combinational circuits. Dept Elect Eng, Virginia Polytechnic Inst State Univ, Blacksburg, Virginia, Tech Rep, pp 12–93 Lee HK, Ha DS (1993) On the generation of test patterns for combinational circuits. Dept Elect Eng, Virginia Polytechnic Inst State Univ, Blacksburg, Virginia, Tech Rep, pp 12–93
12.
Zurück zum Zitat Sharma R , Saluja K (1988) An implementation and analysis of a concurrent built-in self-test technique. In: 18th international symposium on Fault-Tolerant Computing (FTCS), pp 164–169 Sharma R , Saluja K (1988) An implementation and analysis of a concurrent built-in self-test technique. In: 18th international symposium on Fault-Tolerant Computing (FTCS), pp 164–169
13.
Zurück zum Zitat Sharma R, Saluja KK (1993) Theory, analysis and implementation of an on-line BIST technique. VLSI Design 1(1):9–22CrossRef Sharma R, Saluja KK (1993) Theory, analysis and implementation of an on-line BIST technique. VLSI Design 1(1):9–22CrossRef
14.
Zurück zum Zitat Saluja KK, Sharma R, Kime C (1987) Concurrent comparative testing using BIST resources. In: Proceedings IEEE international conference on computer-aided design (ICCAD), pp 336–337 Saluja KK, Sharma R, Kime C (1987) Concurrent comparative testing using BIST resources. In: Proceedings IEEE international conference on computer-aided design (ICCAD), pp 336–337
15.
Zurück zum Zitat Saluja KK, Sharma R, Kime CR (1988) A concurrent testing technique for digital circuits. IEEE Trans Comput Aided Des Integr Circuits Syst 7(12):1250–1260CrossRef Saluja KK, Sharma R, Kime CR (1988) A concurrent testing technique for digital circuits. IEEE Trans Comput Aided Des Integr Circuits Syst 7(12):1250–1260CrossRef
16.
Zurück zum Zitat Voyiatzis I, Halatsis C (2005) A low cost concurrent BIST scheme for increased dependability. IEEE Trans Depend Secure Comput 2(2):150–156CrossRef Voyiatzis I, Halatsis C (2005) A low cost concurrent BIST scheme for increased dependability. IEEE Trans Depend Secure Comput 2(2):150–156CrossRef
17.
Zurück zum Zitat Voyiatzis I, Paschalis A, Gizopoulos D et al (2005) A concurrent built-in self test architecture based on a self-testing RAM. IEEE Trans Reliab 54(1):69–78CrossRef Voyiatzis I, Paschalis A, Gizopoulos D et al (2005) A concurrent built-in self test architecture based on a self-testing RAM. IEEE Trans Reliab 54(1):69–78CrossRef
18.
Zurück zum Zitat Voyiatzis I, Gizopoulos D, Paschalis A et al (2005) A concurrent BIST scheme for on-line/off-line testing based on a pre-computed test set. In: Proceedings IEEE international test conference (ITC), pp 1118–1125 Voyiatzis I, Gizopoulos D, Paschalis A et al (2005) A concurrent BIST scheme for on-line/off-line testing based on a pre-computed test set. In: Proceedings IEEE international test conference (ITC), pp 1118–1125
19.
Zurück zum Zitat Voyiatzis I, Paschalis A, Gizopoulos D et al (2008) An input vector monitoring concurrent BIST architecture based on a precomputed test set. IEEE Trans Comput 57(8):1012–1022MathSciNetCrossRef Voyiatzis I, Paschalis A, Gizopoulos D et al (2008) An input vector monitoring concurrent BIST architecture based on a precomputed test set. IEEE Trans Comput 57(8):1012–1022MathSciNetCrossRef
20.
Zurück zum Zitat Voyiatzis I, Gizopoulos D, Paschalis A (2008) A Concurrent BIST scheme exploiting don’t care values. In: Proceedings 16th IFIP/IEEE international conference on very large scale integration (VLSI-SOC), pp 581–588 Voyiatzis I, Gizopoulos D, Paschalis A (2008) A Concurrent BIST scheme exploiting don’t care values. In: Proceedings 16th IFIP/IEEE international conference on very large scale integration (VLSI-SOC), pp 581–588
21.
Zurück zum Zitat Voyiatzis I, Gizopoulos D, Paschalis A (2009) An input vector monitoring concurrent BIST scheme exploiting ”X” values. In: Proceedings IEEE international on-line test symposium (IOLTS), pp 206–207 Voyiatzis I, Gizopoulos D, Paschalis A (2009) An input vector monitoring concurrent BIST scheme exploiting ”X” values. In: Proceedings IEEE international on-line test symposium (IOLTS), pp 206–207
22.
Zurück zum Zitat Voyiatzis I (2012) Input vector monitoring on-line concurrent BIST based on mutilevel decoding logic. In: Proceedings IEEE design automation and test in europe conference and exhibition (DATE), pp 1251–1256 Voyiatzis I (2012) Input vector monitoring on-line concurrent BIST based on mutilevel decoding logic. In: Proceedings IEEE design automation and test in europe conference and exhibition (DATE), pp 1251–1256
Metadaten
Titel
A Cost-efficient Input Vector Monitoring Concurrent On-line BIST Scheme Based on Multilevel Decoding Logic
verfasst von
Tie-Bin Wu
Heng-Zhu Liu
Peng-Xia Liu
Dong-Sheng Guo
Hai-Ming Sun
Publikationsdatum
01.08.2013
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 4/2013
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-013-5380-1

Weitere Artikel der Ausgabe 4/2013

Journal of Electronic Testing 4/2013 Zur Ausgabe

EditorialNotes

Editorial

Neuer Inhalt