Skip to main content
Erschienen in: Journal of Electronic Testing 6/2016

06.10.2016

An Effective Power-Aware At-Speed Test Methodology for IP Qualification and Characterization

verfasst von: Kapil Juneja, Darayus Adil Patel, Rajesh Kumar Immadi, Balwant Singh, Sylvie Naudet, Pankaj Agarwal, Arnaud Virazel, Patrick Girard

Erschienen in: Journal of Electronic Testing | Ausgabe 6/2016

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Advanced nanometer technologies have led to a drastic increase in operational frequencies resulting in the performance of circuits becoming increasingly vulnerable to timing variations. The increasing process spread in advanced nanometer nodes poses considerable challenges in predicting post-fabrication silicon performance from timing models. Thus, there is a great need to qualify basic building structures on silicon in terms of critical parameters before they could be integrated within a complex System-on-Chip (SoC). The work of this paper presents a configurable circuit and an associated power-aware at-speed test methodology for the purpose of qualifying basic standard cells and complex IP structures to detect the presence of timing faults. Our design has been embedded within test-chips used for the development of the 28 nm Fully Depleted Silicon On Insulator (FD-SOI) technology node. The relevant silicon results and analysis validate the proposed power-aware test methodology for qualification and characterization of IPs and provide deeper insights for process improvements.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
1.
Zurück zum Zitat Ahmed N, Ravikumar CP, Tehranipoor M, Plusquellic J (2005) At-speed transition fault testing with low speed scan enable. Proc. IEEE VLSI Test Symposium, pp 42–47 Ahmed N, Ravikumar CP, Tehranipoor M, Plusquellic J (2005) At-speed transition fault testing with low speed scan enable. Proc. IEEE VLSI Test Symposium, pp 42–47
2.
Zurück zum Zitat Bahl S et al. (2011) State of the art low capture power methodology. Proc. IEEE International Test Conference, pp 1–10 Bahl S et al. (2011) State of the art low capture power methodology. Proc. IEEE International Test Conference, pp 1–10
3.
Zurück zum Zitat Bahukudumbi S, Chakrabarty K (2008) Power Management for Wafer-Level Test During Burn-In, Proc. IEEE Asian Test Symposium, pp 231–236 Bahukudumbi S, Chakrabarty K (2008) Power Management for Wafer-Level Test During Burn-In, Proc. IEEE Asian Test Symposium, pp 231–236
4.
Zurück zum Zitat Bonhomme Y, Girard P, Guiller L, Landrault C, Pravossoudovitch S (2001) A gated clock scheme for low power scan testing of logic ICs or embedded cores. Proc. IEEE Asian Test Symposium, pp 253–258 Bonhomme Y, Girard P, Guiller L, Landrault C, Pravossoudovitch S (2001) A gated clock scheme for low power scan testing of logic ICs or embedded cores. Proc. IEEE Asian Test Symposium, pp 253–258
5.
Zurück zum Zitat Borkar S, Karnik T, Narendra S, Tschanz J, Keshavarzi A, De V (2003) Parameter variations and impact on circuits and microarchitecture, Proc. ACM Design Automation Conference, pp 338–342 Borkar S, Karnik T, Narendra S, Tschanz J, Keshavarzi A, De V (2003) Parameter variations and impact on circuits and microarchitecture, Proc. ACM Design Automation Conference, pp 338–342
6.
Zurück zum Zitat Brand HJ, Rulke S, Radetzki M (2004) IPQ: IP qualification for efficient system design. Proc. IEEE International Symposium on Quality Electronic Design, pp 478–482 Brand HJ, Rulke S, Radetzki M (2004) IPQ: IP qualification for efficient system design. Proc. IEEE International Symposium on Quality Electronic Design, pp 478–482
7.
Zurück zum Zitat Bushnell ML, Agrawal VD (2000) Essentials of electronic testing. Kluwer Academic Publishers, Boston Bushnell ML, Agrawal VD (2000) Essentials of electronic testing. Kluwer Academic Publishers, Boston
8.
Zurück zum Zitat Chakravadhanula K, Chickermane V, Keller B, Gallagher P, Narang P (2009) Capture power reduction using clock gating aware test generation. Proc. IEEE International Test Conference, pp 1–9 Chakravadhanula K, Chickermane V, Keller B, Gallagher P, Narang P (2009) Capture power reduction using clock gating aware test generation. Proc. IEEE International Test Conference, pp 1–9
9.
Zurück zum Zitat Cheng KT, Dey S, Rodgers M, Roy K (2000) Test challenges for deep sub-micron technologies. Proc. ACM Design Automation Conference, pp 142–149 Cheng KT, Dey S, Rodgers M, Roy K (2000) Test challenges for deep sub-micron technologies. Proc. ACM Design Automation Conference, pp 142–149
10.
Zurück zum Zitat Crouch A (1999) Design-for-Test for Digital IC's and Embedded Core Systems. Prentice Hall Crouch A (1999) Design-for-Test for Digital IC's and Embedded Core Systems. Prentice Hall
11.
Zurück zum Zitat Dabholkar V, Chakravarty S, Pomeranz I, Reddy S (1998) Techniques for minimizing power dissipation in scan and combinational circuits during test application. IEEE Trans Comput Aided Des Integr Circuits Syst 17(12):1325–1333CrossRef Dabholkar V, Chakravarty S, Pomeranz I, Reddy S (1998) Techniques for minimizing power dissipation in scan and combinational circuits during test application. IEEE Trans Comput Aided Des Integr Circuits Syst 17(12):1325–1333CrossRef
13.
Zurück zum Zitat Girard P, Guiller L, Landrault C, Pravossoudovitch S, Wunderlich, HJ (2001) A modified clock scheme for a low power BIST test pattern generator, Proc. IEEE VLSI Test Symposium, pp 306–311 Girard P, Guiller L, Landrault C, Pravossoudovitch S, Wunderlich, HJ (2001) A modified clock scheme for a low power BIST test pattern generator, Proc. IEEE VLSI Test Symposium, pp 306–311
14.
Zurück zum Zitat Girard P, Nicolici N, Wen X (editors) (2009) Power-Aware Testing and Test Strategies for Low Power Devices, Springer Girard P, Nicolici N, Wen X (editors) (2009) Power-Aware Testing and Test Strategies for Low Power Devices, Springer
15.
Zurück zum Zitat Hsiao MS, Rudnick EM, Patel JH (2000) Peak power estimation of VLSI circuits: new peak power measures. IEEE Trans Very Large Scale Integr VLSI Syst 8(4):435–439CrossRef Hsiao MS, Rudnick EM, Patel JH (2000) Peak power estimation of VLSI circuits: new peak power measures. IEEE Trans Very Large Scale Integr VLSI Syst 8(4):435–439CrossRef
16.
Zurück zum Zitat Iyengar V et al. (2007) Variation-aware performance verification using at-speed structural test and statistical timing. Proc. IEEE/ACM International Conference on Computer-Aided Design, 2007, San Jose, pp 405–412 Iyengar V et al. (2007) Variation-aware performance verification using at-speed structural test and statistical timing. Proc. IEEE/ACM International Conference on Computer-Aided Design, 2007, San Jose, pp 405–412
17.
Zurück zum Zitat Lin X et al (2003) High-frequency, at-speed scan testing. IEEE Des Test Comput 20(5):17–25CrossRef Lin X et al (2003) High-frequency, at-speed scan testing. IEEE Des Test Comput 20(5):17–25CrossRef
18.
Zurück zum Zitat Multari R, Vollertsen RP, Reece V (2000) Burn-in discussion group minutes," IEEE International Integrated Reliability Workshop Final Report, pp 123–124 Multari R, Vollertsen RP, Reece V (2000) Burn-in discussion group minutes," IEEE International Integrated Reliability Workshop Final Report, pp 123–124
19.
Zurück zum Zitat Nicolici N, Wen X (2007) Embedded Tutorial on Low Power Test. Proc. 12th IEEE European Test Symposium, pp 202–210 Nicolici N, Wen X (2007) Embedded Tutorial on Low Power Test. Proc. 12th IEEE European Test Symposium, pp 202–210
20.
Zurück zum Zitat Nigh P et al. (1998) Failure analysis of timing and IDDq-only failures from the SEMATECH test methods experiment. Proc. IEEE International Test Conference, pp 43–52 Nigh P et al. (1998) Failure analysis of timing and IDDq-only failures from the SEMATECH test methods experiment. Proc. IEEE International Test Conference, pp 43–52
21.
Zurück zum Zitat Onodera H (2008) Variability modeling and impact on design. Proc. IEEE International Electron Devices Meeting, pp 1–4 Onodera H (2008) Variability modeling and impact on design. Proc. IEEE International Electron Devices Meeting, pp 1–4
22.
Zurück zum Zitat Planes N et al. (2012) 28 nm FDSOI technology platform for high-speed low-voltage digital applications. Proc. IEEE Symposium on VLSI Technology (VLSIT), pp 133–134 Planes N et al. (2012) 28 nm FDSOI technology platform for high-speed low-voltage digital applications. Proc. IEEE Symposium on VLSI Technology (VLSIT), pp 133–134
23.
Zurück zum Zitat Ravi S (2007) Power-aware test: Challenges and solutions. Proc. IEEE International Test Conference, pp 1–10 Ravi S (2007) Power-aware test: Challenges and solutions. Proc. IEEE International Test Conference, pp 1–10
24.
Zurück zum Zitat Reda S (2011) Thermal and Power Characterization of Real Computing Devices. IEEE J Emerging Sel Top Circuits Syst 1(2):76–87CrossRef Reda S (2011) Thermal and Power Characterization of Real Computing Devices. IEEE J Emerging Sel Top Circuits Syst 1(2):76–87CrossRef
25.
Zurück zum Zitat Saxena J et al. (2003) A case study of ir-drop in structured at-speed testing. Proc. IEEE International Test Conference, pp 1098–1104 Saxena J et al. (2003) A case study of ir-drop in structured at-speed testing. Proc. IEEE International Test Conference, pp 1098–1104
26.
Zurück zum Zitat Tendolkar NN (1985) Analysis of Timing Failures Due to Random AC Defects in VLSI Modules. Proc. ACM Design Automation Conference, pp 709–714 Tendolkar NN (1985) Analysis of Timing Failures Due to Random AC Defects in VLSI Modules. Proc. ACM Design Automation Conference, pp 709–714
27.
Zurück zum Zitat Tendolkar N et al. (2006) Improving Transition Fault Test Pattern Quality through At-Speed Diagnosis. Proc. IEEE International Test Conference, pp 1–9 Tendolkar N et al. (2006) Improving Transition Fault Test Pattern Quality through At-Speed Diagnosis. Proc. IEEE International Test Conference, pp 1–9
28.
Zurück zum Zitat Vorg A, Radetzki M, Rosenstiel W (2004) Measurement of IP qualification costs and benefits. Proc. IEEE/ACM Design, Automation and Test in Europe Conference, pp 996–1001 Vorg A, Radetzki M, Rosenstiel W (2004) Measurement of IP qualification costs and benefits. Proc. IEEE/ACM Design, Automation and Test in Europe Conference, pp 996–1001
29.
Zurück zum Zitat Vorisek V, Koch T, Fischer H (2004) At-speed testing of SOC ICs, Proc. IEEE/ACM Design, Automation and Test in Europe Conference, pp 120–125 Vorisek V, Koch T, Fischer H (2004) At-speed testing of SOC ICs, Proc. IEEE/ACM Design, Automation and Test in Europe Conference, pp 120–125
30.
Zurück zum Zitat Waicukauski JA, Lindbloom E, Rosen BK, Iyengar VS (1987) Transition Fault Simulation. IEEE Des Test Comput 4(2):32–38CrossRef Waicukauski JA, Lindbloom E, Rosen BK, Iyengar VS (1987) Transition Fault Simulation. IEEE Des Test Comput 4(2):32–38CrossRef
31.
Zurück zum Zitat Wang S, Gupta SK (1997) DS-LFSR: a new BIST TPG for low heat dissipation. Proc. IEEE International Test Conference, pp 848–857 Wang S, Gupta SK (1997) DS-LFSR: a new BIST TPG for low heat dissipation. Proc. IEEE International Test Conference, pp 848–857
32.
Zurück zum Zitat Wang LW, Luo HW (2011) Quality and reliability of digital soft IP core and a qualification framework. Proc. IEEE International Conference on Quality, Reliability, Risk, Maintenance, and Safety Engineering (ICQR2MSE), pp 804–808 Wang LW, Luo HW (2011) Quality and reliability of digital soft IP core and a qualification framework. Proc. IEEE International Conference on Quality, Reliability, Risk, Maintenance, and Safety Engineering (ICQR2MSE), pp 804–808
33.
Zurück zum Zitat Wang LC, Liou J-J, Cheng K-T (2004) Critical path selection for delay fault testing based upon a statistical timing model. IEEE Trans Comput Aided Des Integr Circuits Syst 23(11):1550–1565 Wang LC, Liou J-J, Cheng K-T (2004) Critical path selection for delay fault testing based upon a statistical timing model. IEEE Trans Comput Aided Des Integr Circuits Syst 23(11):1550–1565
34.
Zurück zum Zitat Whetsel L (2000) Adapting scan architectures for low power operation. Proc. IEEE International Test Conference, pp 863–872 Whetsel L (2000) Adapting scan architectures for low power operation. Proc. IEEE International Test Conference, pp 863–872
35.
Zurück zum Zitat Wu S et al. (2010) Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains, Proc. IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, pp 358–366 Wu S et al. (2010) Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains, Proc. IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, pp 358–366
36.
Zurück zum Zitat Yilmaz M, Chakrabarty K, Tehranipoor M (2008) Test-Pattern Grading and Pattern Selection for Small-Delay Defects. Proc. IEEE VLSI Test Symposium, pp 233–239 Yilmaz M, Chakrabarty K, Tehranipoor M (2008) Test-Pattern Grading and Pattern Selection for Small-Delay Defects. Proc. IEEE VLSI Test Symposium, pp 233–239
37.
Zurück zum Zitat Yoshida T, Watati M (2003) A new approach for low-power scan testing. Proc. IEEE International Test Conference, pp 480–487 Yoshida T, Watati M (2003) A new approach for low-power scan testing. Proc. IEEE International Test Conference, pp 480–487
Metadaten
Titel
An Effective Power-Aware At-Speed Test Methodology for IP Qualification and Characterization
verfasst von
Kapil Juneja
Darayus Adil Patel
Rajesh Kumar Immadi
Balwant Singh
Sylvie Naudet
Pankaj Agarwal
Arnaud Virazel
Patrick Girard
Publikationsdatum
06.10.2016
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 6/2016
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-016-5621-1

Weitere Artikel der Ausgabe 6/2016

Journal of Electronic Testing 6/2016 Zur Ausgabe

Neuer Inhalt