Skip to main content
Erschienen in: Journal of Electronic Testing 4/2021

14.08.2021

Fault-Aware Dependability Enhancement Techniques for Phase Change Memory

Erschienen in: Journal of Electronic Testing | Ausgabe 4/2021

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

A variety of resistive memories have been proposed in recent years. Among these emerging technologies, phase change memory (PCM) has received the most research attentions since it has the advantages of high scalability, non-volatility, fast access, strong data retention, low cost, and low power consumption. It is also considered as the most promising alternative of DRAM. In order to conquer the inevitable endurance problem of PCM cells which causes serious reliability and yield threats, hard repair and ECC (Error correction code) techniques are widely adopted. However, since soft errors are not a main threat for PCM, incorporating ECC for each data word is not a cost-effective technique since a lot of memory space is required for storing the check bits. In this paper, the progressive ECC techniques including the local progressive ECC (LPE) technique and the global progressive ECC (GPE) technique are proposed to solve this dilemma. The key innovation is to equip ECC for a data word when its first faulty cell is detected. In other words, we only equip fault detection code for data words such that the original code rate can be increased significantly. An ECC DRAM and an ECC CAM are used for storing check bits and accessing purposes, respectively. Hardware architectures for implementing the proposed GPE and LPE techniques are also provided. A simulator is developed for evaluating repair rate, reliability, yield, and hardware overhead. According to experimental results, the degradation of repair rate and reliability are almost negligible. However, the hardware overhead is at least 80% lower than the original ECC technique while maintaining the original reliability and yield levels.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
1.
Zurück zum Zitat Chen C, An J (2016) DRAM write-only-cache for improving lifetime of phase change memory. in Proc. 59th Int’l Midwest Symp. on Circuits and Systems (MWSCAS), pp. 1–4 Chen C, An J (2016) DRAM write-only-cache for improving lifetime of phase change memory. in Proc. 59th Int’l Midwest Symp. on Circuits and Systems (MWSCAS), pp. 1–4
2.
Zurück zum Zitat Cho S, Lee H (2009) Flip-n-write: a simple deterministic technique to improve PRAM write performance, energy and endurance. in Proc. Int’l Symp. on Microarchitecture (MICRO), pp. 347–357 Cho S, Lee H (2009) Flip-n-write: a simple deterministic technique to improve PRAM write performance, energy and endurance. in Proc. Int’l Symp. on Microarchitecture (MICRO), pp. 347–357
3.
Zurück zum Zitat Huang F, Feng D, Xia W, Zhou W, Zhang Y, Fu M, Jiang C, Zhou Y (2016) Security RBSG: protecting phase change memory with security-level adjustable dynamic mapping in Proc. Int’l Parallel and Distributed Processing Symp. (IPDPS), pp. 1081–1090 Huang F, Feng D, Xia W, Zhou W, Zhang Y, Fu M, Jiang C, Zhou Y (2016) Security RBSG: protecting phase change memory with security-level adjustable dynamic mapping in Proc. Int’l Parallel and Distributed Processing Symp. (IPDPS), pp. 1081–1090
4.
Zurück zum Zitat Ipek E, Condit J, Nightingale E, Burger D, Moscibroda T (2010) Dynamically replicated memory: Building resilient systems from unreliable nanoscale memories. in Proc. Int’l Conf. on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pp. 3–14 Ipek E, Condit J, Nightingale E, Burger D, Moscibroda T (2010) Dynamically replicated memory: Building resilient systems from unreliable nanoscale memories. in Proc. Int’l Conf. on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pp. 3–14
5.
Zurück zum Zitat Kline D, Zhang J, Melhem R, Jones AK (2020) FLOWER and FaME: A low overhead bit-level fault-map and fault-tolerance approach for deeply scaled memories. in Proc. IEEE Int’l Symp. on High Performance Computer Architecture (HPCA), pp. 356–368. Kline D, Zhang J, Melhem R, Jones AK (2020) FLOWER and FaME: A low overhead bit-level fault-map and fault-tolerance approach for deeply scaled memories. in Proc. IEEE Int’l Symp. on High Performance Computer Architecture (HPCA), pp. 356–368.
6.
Zurück zum Zitat Lee BC, Ipek E, Mutlu O, Burger D (2009) Architecting phase change memory as a scalable DRAM alternative. in Proc. 36th Int’l Symp Comput Architecture (ISCA’09), pp. 2–13. Lee BC, Ipek E, Mutlu O, Burger D (2009) Architecting phase change memory as a scalable DRAM alternative. in Proc. 36th Int’l Symp Comput Architecture (ISCA’09), pp. 2–13.
7.
Zurück zum Zitat Lee BC, Zhou P, Yang J, Zhang Y, Zhao B, Ipek E, Mutlu O, Burger D (2010) Phase-change technology and the future of main memory. IEEE Micro 30(1):131–141CrossRef Lee BC, Zhou P, Yang J, Zhang Y, Zhao B, Ipek E, Mutlu O, Burger D (2010) Phase-change technology and the future of main memory. IEEE Micro 30(1):131–141CrossRef
8.
Zurück zum Zitat Lin S, Costello DJ (2004) Error Control Coding, Englewood Cliffs, NJ: Pearson Prentice Hall Lin S, Costello DJ (2004) Error Control Coding, Englewood Cliffs, NJ: Pearson Prentice Hall
9.
Zurück zum Zitat Lu SK, Jheng HC, Hashizume M, Huang JL, Ning P (2013) Fault scrambling techniques for yield enhancement of embedded memories. in Proc. Asian Test Symposium (ATS), pp. 215–220 Lu SK, Jheng HC, Hashizume M, Huang JL, Ning P (2013) Fault scrambling techniques for yield enhancement of embedded memories. in Proc. Asian Test Symposium (ATS), pp. 215–220
10.
Zurück zum Zitat Lu SK, Tsai CJ, Hashizume M (2016) Enhanced built-In self-repair techniques for improving fabrication yield and reliability of embedded memories. IEEE Trans VLSI Systems 24(8):2726–2734CrossRef Lu SK, Tsai CJ, Hashizume M (2016) Enhanced built-In self-repair techniques for improving fabrication yield and reliability of embedded memories. IEEE Trans VLSI Systems 24(8):2726–2734CrossRef
11.
Zurück zum Zitat Lu SK, Li HP, Miyase K (2018) Progressive ECC techniques for phase change memory. in Proc. Asian Test Symposium (ATS), pp. 161–166 Lu SK, Li HP, Miyase K (2018) Progressive ECC techniques for phase change memory. in Proc. Asian Test Symposium (ATS), pp. 161–166
12.
Zurück zum Zitat Seong NH, Woo DH, Srinivasan V, Rivers J, Lee HH (2010) SAFER: Stuck-at-fault error recovery for memories. in Proc. IEEE/ACM Int’l Symp. on Microarchitecture (MICRO), pp. 115–124 Seong NH, Woo DH, Srinivasan V, Rivers J, Lee HH (2010) SAFER: Stuck-at-fault error recovery for memories. in Proc. IEEE/ACM Int’l Symp. on Microarchitecture (MICRO), pp. 115–124
13.
Zurück zum Zitat Shi L, Zhao R, Chong TC (2012) Phase-change random access memory. IEEE, Developments in Data Storage: Materials Perspective, pp. 277–296. Shi L, Zhao R, Chong TC (2012) Phase-change random access memory. IEEE, Developments in Data Storage: Materials Perspective, pp. 277–296.
14.
Zurück zum Zitat Schechter S, Loh GH, Strauss K, Burger D (2010) Use ECP, not ECC, for hard failures in resistive memories. in Proc. Int’l Symp Comput Archit, pp. 141–152 Schechter S, Loh GH, Strauss K, Burger D (2010) Use ECP, not ECC, for hard failures in resistive memories. in Proc. Int’l Symp Comput Archit, pp. 141–152
15.
Zurück zum Zitat Qureshi MK, Karidis J, Franceschini M, Srinivasan V, Lastras L, Abali B (2009) Enhancing lifetime and security of PCM-based main memory with start-gap wear leveling. in Proc. 42nd IEEE/ACM Int’l Symp Microarchitecture, pp. 14–23 Qureshi MK, Karidis J, Franceschini M, Srinivasan V, Lastras L, Abali B (2009) Enhancing lifetime and security of PCM-based main memory with start-gap wear leveling. in Proc. 42nd IEEE/ACM Int’l Symp Microarchitecture, pp. 14–23
16.
Zurück zum Zitat Wang D, Xie YJ, Hu1 Y, Li HW, Li XW (2007) Hierarchical fault tolerance memory architecture with 3-Dimension interconnect. in Proc. IEEE Region 10 Conference (TENCON),pp. 1–4. Wang D, Xie YJ, Hu1 Y, Li HW, Li XW (2007) Hierarchical fault tolerance memory architecture with 3-Dimension interconnect. in Proc. IEEE Region 10 Conference (TENCON),pp. 1–4.
17.
Zurück zum Zitat Wong HSP, Lee HY, Yu S, Chen YS, Wu Y, Chen PS, Lee B, Chen FT, Tsai MJ (2012) Metal-oxide RRAM. Proc of the IEEE 100(6):1951–1970CrossRef Wong HSP, Lee HY, Yu S, Chen YS, Wu Y, Chen PS, Lee B, Chen FT, Tsai MJ (2012) Metal-oxide RRAM. Proc of the IEEE 100(6):1951–1970CrossRef
18.
Zurück zum Zitat Yu HL, Du Y (2014) Increasing endurance and security of phase change memory with multi-way wear-leveling. IEEE Trans Computers 63(5):1157–1168MathSciNetCrossRef Yu HL, Du Y (2014) Increasing endurance and security of phase change memory with multi-way wear-leveling. IEEE Trans Computers 63(5):1157–1168MathSciNetCrossRef
19.
Zurück zum Zitat Yu S (2016) Resistive Random Access Memory (RRAM): From Devices to Array Architectures. Synthesis Lectures on Emerging Engineering Technologies, pp. 1–79, Morgan & Claypool Yu S (2016) Resistive Random Access Memory (RRAM): From Devices to Array Architectures. Synthesis Lectures on Emerging Engineering Technologies, pp. 1–79, Morgan & Claypool
20.
Zurück zum Zitat Yun J, Lee S, Yoo S (2015) Dynamic wear leveling for phase-change memories with endurance variations. IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 23, no. 9, pp. 1604–1615 Yun J, Lee S, Yoo S (2015) Dynamic wear leveling for phase-change memories with endurance variations. IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 23, no. 9, pp. 1604–1615
21.
Zurück zum Zitat Yang BD, Lee JE, Kim JS, Cho J, Lee SY, Yu BG (2007) A low power phase-change random access memory using a data-comparison write scheme. in Proc. IEEE Int’l Symp on Circuit and Systems, pp. 3014–3017 Yang BD, Lee JE, Kim JS, Cho J, Lee SY, Yu BG (2007) A low power phase-change random access memory using a data-comparison write scheme. in Proc. IEEE Int’l Symp on Circuit and Systems, pp. 3014–3017
22.
Zurück zum Zitat Yoon DH, Muralimanohar N, Chang J, Ranganathan P, Jouppi NP, Erez M (2011) FREE-p: Protecting non-volatile memory against both hard and soft errors. in Proc. IEEE Int’l Symp. on High Performance Computer Architecture (HPCA), pp. 466–477 Yoon DH, Muralimanohar N, Chang J, Ranganathan P, Jouppi NP, Erez M (2011) FREE-p: Protecting non-volatile memory against both hard and soft errors. in Proc. IEEE Int’l Symp. on High Performance Computer Architecture (HPCA), pp. 466–477
Metadaten
Titel
Fault-Aware Dependability Enhancement Techniques for Phase Change Memory
Publikationsdatum
14.08.2021
Erschienen in
Journal of Electronic Testing / Ausgabe 4/2021
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-021-05961-1

Weitere Artikel der Ausgabe 4/2021

Journal of Electronic Testing 4/2021 Zur Ausgabe

EditorialNotes

Editorial

Neuer Inhalt