Skip to main content
Erschienen in: Journal of Computational Electronics 2/2016

01.06.2016

Thermally aware performance analysis of single-walled carbon nanotube bundle as VLSI interconnects

verfasst von: Mayank Kumar Rai, Brajesh Kumar Kaushik, Sankar Sarkar

Erschienen in: Journal of Computational Electronics | Ausgabe 2/2016

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

A comparative performance analysis in terms of delay, power dissipation, power delay product (PDP), and crosstalk noise between SWCNT bundle interconnects with resistance estimated using conventionally (temperature independent model), and thermally aware model is investigated. The results are also compared with those of currently used copper interconnects at 22 nm technology node. It is observed that, with rise in temperature from 300 to 500 K, SWCNT bundles have a lower delay than that of copper interconnect at different lengths from 100 to \(1000\,\upmu \hbox {m}\) whereas reverse is true for power dissipation. The SPICE simulation results further reveal that for temperature variations ranging from 300 to 500 K, compared to conventional metal (copper) conductors, crosstalk noise voltage levels (positive peaks) in capacitively coupled SWCNT bundle, at the far end of victim line, are significantly low. Moreover, a relative average improvement in delay, power, and PDP using a thermally aware model in comparison with a temperature independent model is about 22.44, 7.59 and 31.96 %, respectively, with length variations from 100 to \(1000\,\upmu \hbox {m}\), whereas for varied tube diameter is about 16.6, 5.6 and 19.72 %, respectively. The average relative improvement in the time duration reduction of victim output, for varied tube diameters, is about 21.7 % by using a thermally-aware model instead of a temperature-independent model of an SWCNT bundle resistance.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Srivastava, N., Banerjee, K.: Performance analysis of carbon nanotube interconnects for VLSI applications. In: IEEE International Conference on Computer-Aided Design, pp. 383–390 (2005) Srivastava, N., Banerjee, K.: Performance analysis of carbon nanotube interconnects for VLSI applications. In: IEEE International Conference on Computer-Aided Design, pp. 383–390 (2005)
2.
Zurück zum Zitat Marulanda, J.M.: Electronic Properties of Carbon Nanotubes. InTech, Vukovar (2011)CrossRef Marulanda, J.M.: Electronic Properties of Carbon Nanotubes. InTech, Vukovar (2011)CrossRef
3.
Zurück zum Zitat Kreupl, F., Graham, A.P., Liebau, M., Duesberg, G.S., Seidel, R., Unger, E.: Carbon nanotubes for interconnect applications. IEDM Technical Digest. In: IEEE International Electronic Devices Meeting, pp. 683–686 (2004) Kreupl, F., Graham, A.P., Liebau, M., Duesberg, G.S., Seidel, R., Unger, E.: Carbon nanotubes for interconnect applications. IEDM Technical Digest. In: IEEE International Electronic Devices Meeting, pp. 683–686 (2004)
4.
Zurück zum Zitat Steinhogl, W., Schindler, G., Steinlesberger, G., Tranving, M., Engelhardt, M.: Comprehensive study of the resistivity of copper wires with lateral dimensions of 100 nm and smaller. J. Appl. Phys. 97, 023706-1–023706-7 (2005)CrossRef Steinhogl, W., Schindler, G., Steinlesberger, G., Tranving, M., Engelhardt, M.: Comprehensive study of the resistivity of copper wires with lateral dimensions of 100 nm and smaller. J. Appl. Phys. 97, 023706-1–023706-7 (2005)CrossRef
5.
Zurück zum Zitat Rai, M.K., Sarkar, S.: Influence of tube diameter on carbon nanotube interconnects delay and power output. Phys. Status Solidi A 208(3), 735–739 (2011)CrossRef Rai, M.K., Sarkar, S.: Influence of tube diameter on carbon nanotube interconnects delay and power output. Phys. Status Solidi A 208(3), 735–739 (2011)CrossRef
6.
Zurück zum Zitat Li, H., Banerjee, K.: High frequency analysis of carbon nanotube interconnects and implications for on-chip inductor design. IEEE Trans. Electron Device 56(10), 2202–2214 (2009)CrossRef Li, H., Banerjee, K.: High frequency analysis of carbon nanotube interconnects and implications for on-chip inductor design. IEEE Trans. Electron Device 56(10), 2202–2214 (2009)CrossRef
7.
Zurück zum Zitat McEuen, P.L., Fuhrer, M.S., Park, H.: Single-walled carbon nanotube electronics. IEEE Trans. Nanotechnol. 1(1), 78–85 (2002)CrossRef McEuen, P.L., Fuhrer, M.S., Park, H.: Single-walled carbon nanotube electronics. IEEE Trans. Nanotechnol. 1(1), 78–85 (2002)CrossRef
8.
Zurück zum Zitat Li, J., et al.: Bottom-up approach for carbon nanotube interconnects. Appl. Phys. Lett. 82(15), 2491–2493 (2003)CrossRef Li, J., et al.: Bottom-up approach for carbon nanotube interconnects. Appl. Phys. Lett. 82(15), 2491–2493 (2003)CrossRef
9.
Zurück zum Zitat Massoud, Y., Nieuwoudt, A.: Performance analyses of optimized carbon nanotube interconnect. In: IEEE Symposium on Circuits and Systems, ISCAS, pp. 792–795 (2008) Massoud, Y., Nieuwoudt, A.: Performance analyses of optimized carbon nanotube interconnect. In: IEEE Symposium on Circuits and Systems, ISCAS, pp. 792–795 (2008)
10.
Zurück zum Zitat Rai, M.K., Sarkar, S.: Influence of distance between adjacent tubes on SWCNT bundle interconnects delay and power dissipation. J. Comput. Electron. 12(4), 796–802 (2013)CrossRef Rai, M.K., Sarkar, S.: Influence of distance between adjacent tubes on SWCNT bundle interconnects delay and power dissipation. J. Comput. Electron. 12(4), 796–802 (2013)CrossRef
11.
Zurück zum Zitat Im, S., Srivastava, N., Banerjee, K., Goodson, K.E.: Scaling analysis of multilevel interconnect temperatures for high performance ICs. IEEE Trans. Electron Device 52(12), 2710–2719 (2005)CrossRef Im, S., Srivastava, N., Banerjee, K., Goodson, K.E.: Scaling analysis of multilevel interconnect temperatures for high performance ICs. IEEE Trans. Electron Device 52(12), 2710–2719 (2005)CrossRef
12.
Zurück zum Zitat Pop, E., Mann, D., Reifenberg, J., Goodson, K., Dai, H.: Electro-thermal transport in metallic single-wall carbon nanotubes for interconnect applications. In: IEEE International Electron Devices Meeting (IEDM), pp. 253–256 (2005) Pop, E., Mann, D., Reifenberg, J., Goodson, K., Dai, H.: Electro-thermal transport in metallic single-wall carbon nanotubes for interconnect applications. In: IEEE International Electron Devices Meeting (IEDM), pp. 253–256 (2005)
13.
Zurück zum Zitat Pop, E., Mann, D., Cao, J., Wang, Q., Goodson, K., Dai, H.: Negative differential conductance and hot phonons in suspended nanotube molecular wires. Phys. Rev. Lett. 95, 155505:1–155505:4 (2005)CrossRef Pop, E., Mann, D., Cao, J., Wang, Q., Goodson, K., Dai, H.: Negative differential conductance and hot phonons in suspended nanotube molecular wires. Phys. Rev. Lett. 95, 155505:1–155505:4 (2005)CrossRef
14.
Zurück zum Zitat Hosseini, A., Shabro, V.: Thermally-aware modeling and performance evaluation for single-walled carbon nanotube-based interconnects for future high performance integrated circuits. Microelectron. Eng. 87, 1955–1962 (2010)CrossRef Hosseini, A., Shabro, V.: Thermally-aware modeling and performance evaluation for single-walled carbon nanotube-based interconnects for future high performance integrated circuits. Microelectron. Eng. 87, 1955–1962 (2010)CrossRef
15.
Zurück zum Zitat Choongho, Y., Shi, L., Yao, Z., Deyu, L., Majumdar, A.: Thermal conductance and thermo power of an individual single wall carbon nanotube. Nano Lett. 5, 1842–1846 (2005)CrossRef Choongho, Y., Shi, L., Yao, Z., Deyu, L., Majumdar, A.: Thermal conductance and thermo power of an individual single wall carbon nanotube. Nano Lett. 5, 1842–1846 (2005)CrossRef
16.
Zurück zum Zitat Rossi, D., Cazeaux, J.M., Metra, C., Lombardi, F.: Modeling crosstalk effects in CNT bus architectures. IEEE Trans. Nanotechnol. 6(2), 133–145 (2007)CrossRef Rossi, D., Cazeaux, J.M., Metra, C., Lombardi, F.: Modeling crosstalk effects in CNT bus architectures. IEEE Trans. Nanotechnol. 6(2), 133–145 (2007)CrossRef
17.
Zurück zum Zitat Pu, S.-N., Yin, W.-Y., Mao, J.-F., Senior, Liu, Q.H.: Crosstalk prediction of single- and double-walled carbon-nanotube (SWCNT/DWCNT) bundle interconnects. IEEE Trans. Electron Devices 56(4), 560–568 (2009)CrossRef Pu, S.-N., Yin, W.-Y., Mao, J.-F., Senior, Liu, Q.H.: Crosstalk prediction of single- and double-walled carbon-nanotube (SWCNT/DWCNT) bundle interconnects. IEEE Trans. Electron Devices 56(4), 560–568 (2009)CrossRef
18.
Zurück zum Zitat Das, D., Rahaman, H.: Analysis of crosstalk in single- and multiwalz carbon nanotube interconnects and its impacton gate oxide reliability. IEEE Trans. Nanotechnol. 10(6), 1362–1370 (2011)CrossRef Das, D., Rahaman, H.: Analysis of crosstalk in single- and multiwalz carbon nanotube interconnects and its impacton gate oxide reliability. IEEE Trans. Nanotechnol. 10(6), 1362–1370 (2011)CrossRef
19.
Zurück zum Zitat Rai, M.K., Sarkar, S.: Temperature dependant crosstalk analysis in coupled single-walled carbon nanotube (SWCNT) bundle interconnects. Int. J. Circ. Theory Appl. 43(10), 1367–1378 (2015). doi:10.1002/cta.2013 CrossRef Rai, M.K., Sarkar, S.: Temperature dependant crosstalk analysis in coupled single-walled carbon nanotube (SWCNT) bundle interconnects. Int. J. Circ. Theory Appl. 43(10), 1367–1378 (2015). doi:10.​1002/​cta.​2013 CrossRef
20.
Zurück zum Zitat Burke, P.J.: Luttinger liquid theory as a model of the gigahertz electrical properties of carbon nanotubes. IEEE Trans. Nanotechnol. 1(3), 129–144 (2002)CrossRef Burke, P.J.: Luttinger liquid theory as a model of the gigahertz electrical properties of carbon nanotubes. IEEE Trans. Nanotechnol. 1(3), 129–144 (2002)CrossRef
21.
Zurück zum Zitat Wei, B.Q., Vajta, R., Ajayan, P.M.: Reliability and current carrying capacity of carbon nanotubes. Appl. Phys. Lett. 79(8), 1172–1174 (2001)CrossRef Wei, B.Q., Vajta, R., Ajayan, P.M.: Reliability and current carrying capacity of carbon nanotubes. Appl. Phys. Lett. 79(8), 1172–1174 (2001)CrossRef
22.
Zurück zum Zitat Srivastava, N., Banerjee, K.: A comparative scaling analysis of metallic and carbon nanotube interconnections for nanometer scale VLSI technologies. In: Proceedings of the 21st International VLSI Multilevel Interconnect Conference (VMIC): Waikoloa, HI, pp. 393–398 (2004) Srivastava, N., Banerjee, K.: A comparative scaling analysis of metallic and carbon nanotube interconnections for nanometer scale VLSI technologies. In: Proceedings of the 21st International VLSI Multilevel Interconnect Conference (VMIC): Waikoloa, HI, pp. 393–398 (2004)
23.
Zurück zum Zitat Yao, Z., Kane, C.L., Dekker, C.: High-field electrical transport in single wall carbon nanotubes. Phys. Rev. Lett. 84(13), 2941(4) (2000)CrossRef Yao, Z., Kane, C.L., Dekker, C.: High-field electrical transport in single wall carbon nanotubes. Phys. Rev. Lett. 84(13), 2941(4) (2000)CrossRef
24.
Zurück zum Zitat Park, J.Y., Rosenblatt, S., Yaish, Y., Sozonova, V., Ustanel, H., Braig, S., Arias, T.A., Brouwer, P.W., McEuen, P.L.: Electron phonon scattering in metallic single-walled carbon nanotubes. Nano Lett. 4(3), 517–520 (2004)CrossRef Park, J.Y., Rosenblatt, S., Yaish, Y., Sozonova, V., Ustanel, H., Braig, S., Arias, T.A., Brouwer, P.W., McEuen, P.L.: Electron phonon scattering in metallic single-walled carbon nanotubes. Nano Lett. 4(3), 517–520 (2004)CrossRef
25.
Zurück zum Zitat Javey, A.: High-field quasibalistic transport in short carbon nanotubes. Phys. Rev. Lett. 92, 106804 (2004)CrossRef Javey, A.: High-field quasibalistic transport in short carbon nanotubes. Phys. Rev. Lett. 92, 106804 (2004)CrossRef
26.
Zurück zum Zitat Banerjee, K., Srivastava, N.: Are carbon nanotubes the future of VLSI interconnections? In: 43rdACM IEEE DAC Conference Proceedings. San Francisco, CA, pp. 809–814 (2006) Banerjee, K., Srivastava, N.: Are carbon nanotubes the future of VLSI interconnections? In: 43rdACM IEEE DAC Conference Proceedings. San Francisco, CA, pp. 809–814 (2006)
27.
Zurück zum Zitat Raychoudhury, A., Roy, K.: Modelling of metallic carbon nanotube interconnects for circuit simulations and comparison with Cu interconnects for scaled technologies. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 25(1), 58–65 (2006)CrossRef Raychoudhury, A., Roy, K.: Modelling of metallic carbon nanotube interconnects for circuit simulations and comparison with Cu interconnects for scaled technologies. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 25(1), 58–65 (2006)CrossRef
28.
Zurück zum Zitat Li, H., Yin, W.-Y., Banerjee, K., Mao, J.-F.: Circuit modeling and performance analysis of multi-walled carbon nanotube interconnects. IEEE Trans. Electron Devices 55(6), 1328–1337 (2008)CrossRef Li, H., Yin, W.-Y., Banerjee, K., Mao, J.-F.: Circuit modeling and performance analysis of multi-walled carbon nanotube interconnects. IEEE Trans. Electron Devices 55(6), 1328–1337 (2008)CrossRef
29.
Zurück zum Zitat Giancoli, D.: Electric currents and resistance. In: Phillips, J. (ed) Physics for Scientists and Engineers with Modern Physics, 4th edn. Prentice Hall: Upper Saddle River, p. 658. ISBN 0-13-149508-9 (1984) Giancoli, D.: Electric currents and resistance. In: Phillips, J. (ed) Physics for Scientists and Engineers with Modern Physics, 4th edn. Prentice Hall: Upper Saddle River, p. 658. ISBN 0-13-149508-9 (1984)
31.
Zurück zum Zitat Wong, S.-C., Lee, G.-Y., Ma, D.-J.: Modeling of interconnect capacitance, delay, and crosstalk in VLSI. IEEE Trans. Semicond. Manuf. 13(1), 108–111 (2000)CrossRef Wong, S.-C., Lee, G.-Y., Ma, D.-J.: Modeling of interconnect capacitance, delay, and crosstalk in VLSI. IEEE Trans. Semicond. Manuf. 13(1), 108–111 (2000)CrossRef
32.
Zurück zum Zitat Kaushik, B.K., Sarkar, S.: Crosstalk analysis for a CMOS gate driven inductively and capacitively coupled interconnects. Microelectron. J. 39, 1834–1842 (2008)CrossRef Kaushik, B.K., Sarkar, S.: Crosstalk analysis for a CMOS gate driven inductively and capacitively coupled interconnects. Microelectron. J. 39, 1834–1842 (2008)CrossRef
33.
Zurück zum Zitat Naeemi, A., Meindl, J.D.: Design and performance modeling forsingle-walled carbon nanotubes as local, semiglobal, and global intercon-nects in gigascale integrated systems. IEEE Trans. Electron Devices 54(1), 26–37 (2007)CrossRef Naeemi, A., Meindl, J.D.: Design and performance modeling forsingle-walled carbon nanotubes as local, semiglobal, and global intercon-nects in gigascale integrated systems. IEEE Trans. Electron Devices 54(1), 26–37 (2007)CrossRef
34.
Zurück zum Zitat Chandel, R., Sarkar, S., Agarwal, R.P.: Delay, management. power, voltage-scaled. of driven repeater, interconnects. long. Int. J. Model. Simul. 27, 333339 (2007) Chandel, R., Sarkar, S., Agarwal, R.P.: Delay, management. power, voltage-scaled. of driven repeater, interconnects. long. Int. J. Model. Simul. 27, 333339 (2007)
35.
Zurück zum Zitat El-Moursy, M.A., Friedman, E.G.: Power characteristics of inductive interconnect. IEEE Trans. VLSI Syst. 1212, 12951306 (2004) El-Moursy, M.A., Friedman, E.G.: Power characteristics of inductive interconnect. IEEE Trans. VLSI Syst. 1212, 12951306 (2004)
36.
Zurück zum Zitat Dhiman, R., Chandel, R.: Design Challenges in Subthreshold Interconnect Circuits: Compact Models and Performance Investigations for Subthreshold Interconnects, Springer, XIII, 113 p. 45 illus., ISBN: 978-81-322-2131-9 (2015) Dhiman, R., Chandel, R.: Design Challenges in Subthreshold Interconnect Circuits: Compact Models and Performance Investigations for Subthreshold Interconnects, Springer, XIII, 113 p. 45 illus., ISBN: 978-81-322-2131-9 (2015)
37.
Zurück zum Zitat Shin, Y., Kim, K.O.: Analysis of power consumption in VLSI global interconnects. In: IEEE International Symposium on Circuits and System, vol. 5, pp. 4713–4716 (2005). doi:10.1109/ISCAS.2005.1465685 Shin, Y., Kim, K.O.: Analysis of power consumption in VLSI global interconnects. In: IEEE International Symposium on Circuits and System, vol. 5, pp. 4713–4716 (2005). doi:10.​1109/​ISCAS.​2005.​1465685
38.
Zurück zum Zitat Rai, M.K, Spandana, G., Nivedita, Sarkar S.: Power dissipation in SWCNT-interconnect. In: International Conference on Computer and Devices for Communication, pp. 1–4, ISBN:978-1-4244-5073-2 (2009) Rai, M.K, Spandana, G., Nivedita, Sarkar S.: Power dissipation in SWCNT-interconnect. In: International Conference on Computer and Devices for Communication, pp. 1–4, ISBN:978-1-4244-5073-2 (2009)
39.
Zurück zum Zitat Agarwal, K., Sylvester, D., Blaauw, D.: Modeling and analysis of crosstalk noise in coupled RLC interconnects. IEEE Trans. Comput. Added Des. Integr. Circ. Syst. 25(5), 892–901 (2006)CrossRef Agarwal, K., Sylvester, D., Blaauw, D.: Modeling and analysis of crosstalk noise in coupled RLC interconnects. IEEE Trans. Comput. Added Des. Integr. Circ. Syst. 25(5), 892–901 (2006)CrossRef
40.
Zurück zum Zitat Tang, K.T., Friedman, E.G.: Peak crosstalk noise estimation in CMOS VLSI circuits. In: Proceedings of ICECS’99, The 6th IEEE International Conference on Electronics, Circuits and Systems, vol. 3, pp. 1539–1542 (1999) Tang, K.T., Friedman, E.G.: Peak crosstalk noise estimation in CMOS VLSI circuits. In: Proceedings of ICECS’99, The 6th IEEE International Conference on Electronics, Circuits and Systems, vol. 3, pp. 1539–1542 (1999)
41.
Zurück zum Zitat Kaushik, B.K., Agarwal, R.P., Sarkar, S., Joshi, R.C., Chauhan, D.S.: Repeater insertion in crosstalk-aware inductively and capacitively coupled interconnects Jun. Int. J. Circ. Theory Appl. 39(6), 629–647 (2011)CrossRef Kaushik, B.K., Agarwal, R.P., Sarkar, S., Joshi, R.C., Chauhan, D.S.: Repeater insertion in crosstalk-aware inductively and capacitively coupled interconnects Jun. Int. J. Circ. Theory Appl. 39(6), 629–647 (2011)CrossRef
42.
Zurück zum Zitat Rai, M.K., Khanna, R., Sarkar, S.: Crosstalk analysis in CNT bundle interconnects for VLSI application. IEEJ Trans. Electr. Electron. Eng. 9(4), 391–397 (2014)CrossRef Rai, M.K., Khanna, R., Sarkar, S.: Crosstalk analysis in CNT bundle interconnects for VLSI application. IEEJ Trans. Electr. Electron. Eng. 9(4), 391–397 (2014)CrossRef
43.
Zurück zum Zitat Venkatesan, R., Davis, J.A., Meindl, J.D.: Compact distributed RLC interconnect models—part IV: unified models for time delay, crosstalk, and repeater insertion. IEEE Trans. Electron. Devices 50, 1094–1102 (2003)CrossRef Venkatesan, R., Davis, J.A., Meindl, J.D.: Compact distributed RLC interconnect models—part IV: unified models for time delay, crosstalk, and repeater insertion. IEEE Trans. Electron. Devices 50, 1094–1102 (2003)CrossRef
44.
Zurück zum Zitat Kaushik, B.K., Sarkar, S.: Crosstalk analysis for a CMOS-gate-driven coupled interconnects. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 27(6), 1150–1154 (2008)CrossRef Kaushik, B.K., Sarkar, S.: Crosstalk analysis for a CMOS-gate-driven coupled interconnects. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 27(6), 1150–1154 (2008)CrossRef
Metadaten
Titel
Thermally aware performance analysis of single-walled carbon nanotube bundle as VLSI interconnects
verfasst von
Mayank Kumar Rai
Brajesh Kumar Kaushik
Sankar Sarkar
Publikationsdatum
01.06.2016
Verlag
Springer US
Erschienen in
Journal of Computational Electronics / Ausgabe 2/2016
Print ISSN: 1569-8025
Elektronische ISSN: 1572-8137
DOI
https://doi.org/10.1007/s10825-016-0793-6

Weitere Artikel der Ausgabe 2/2016

Journal of Computational Electronics 2/2016 Zur Ausgabe

Neuer Inhalt