Skip to main content
Erschienen in: Journal of Electronic Testing 5/2019

11.11.2019

Identification of Random/Clustered TSV Defects in 3D IC During Pre-Bond Testing

verfasst von: Dilip Kumar Maity, Surajit Kumar Roy, Chandan Giri

Erschienen in: Journal of Electronic Testing | Ausgabe 5/2019

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Three-dimensional Integrated Circuits (3D ICs) based on Through-Silicon Vias (TSVs) provide many benefits, such as high density, high bandwidth and low-power consumption. However, defects in TSV due to complex fabrication steps decrease the yield and reliability of 3D ICs. Therefore each die should be tested before it is stacked through pre-bond test. Pre-bond test and defect identification of TSVs are extremely important to screen out defective TSVs early in the manufacturing flow. Also, test cost minimization is one of the key issues of the testing process. The existing test time minimization solutions for pre-bond test consider random TSV defects. However, in practice clustered TSV faults are quite common. In this paper, we propose a novel test time minimization technique to address both random and clustered defect distributions. The proposed solutions are based on recursive bi-partitioning and padding of test sessions to minimize the number of required test sessions as well as test time. Simulation results show that the proposed method can achieve more than 50% reduction in test time for a 20-TSV network with four faulty TSVs compared to serial testing approach. The proposed algorithm also pinpoints the defective TSVs in a TSV network with a reduced test time compared to prior works.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
1.
Zurück zum Zitat Chen P-Y, Wu C-W, Kwai D-M (2009) On-chip tsv testing for 3d ic before bonding using sense amplification. In: 2009 Asian test symposium. IEEE, pp 450–455 Chen P-Y, Wu C-W, Kwai D-M (2009) On-chip tsv testing for 3d ic before bonding using sense amplification. In: 2009 Asian test symposium. IEEE, pp 450–455
2.
Zurück zum Zitat Chen H, Shih J, Li S, Lin H, Wang M, Peng C (2010) Electrical tests for three-dimensional ics (3dics) with tsvs. In: International test conference 3D-test workshop, pp 1–6 Chen H, Shih J, Li S, Lin H, Wang M, Peng C (2010) Electrical tests for three-dimensional ics (3dics) with tsvs. In: International test conference 3D-test workshop, pp 1–6
3.
Zurück zum Zitat Chen P-Y, Wu C-W, Kwai D-M (2010) On-chip testing of blind and open-sleeve tsvs for 3d ic before bonding. In: 2010 28th VLSI test symposium (VTS). IEEE, pp 263–268 Chen P-Y, Wu C-W, Kwai D-M (2010) On-chip testing of blind and open-sleeve tsvs for 3d ic before bonding. In: 2010 28th VLSI test symposium (VTS). IEEE, pp 263–268
4.
Zurück zum Zitat Cho M, Liu C, Kim DH, Lim SK, Mukhopadhyay S (2010) Design method and test structure to characterize and repair tsv defect induced signal degradation in 3d system. In: 2010 IEEE/ACM international conference on computer-aided design (ICCAD). IEEE, pp 694–697 Cho M, Liu C, Kim DH, Lim SK, Mukhopadhyay S (2010) Design method and test structure to characterize and repair tsv defect induced signal degradation in 3d system. In: 2010 IEEE/ACM international conference on computer-aided design (ICCAD). IEEE, pp 694–697
5.
Zurück zum Zitat Cho M, Liu C, Kim DH, Lim SK, Mukhopadhyay S (2011) Pre-bond and post-bond test and signal recovery structure to characterize and repair tsv defect induced signal degradation in 3-d system. IEEE Trans Comp Packag Manuf Technol 1(11):1718–1727CrossRef Cho M, Liu C, Kim DH, Lim SK, Mukhopadhyay S (2011) Pre-bond and post-bond test and signal recovery structure to characterize and repair tsv defect induced signal degradation in 3-d system. IEEE Trans Comp Packag Manuf Technol 1(11):1718–1727CrossRef
6.
Zurück zum Zitat Davis WR, Wilson J, Mick S, Xu J, Hua H, Mineo C, Sule AM, Steer M, Franzon P (2005) Demystifying 3d ics: the pros and cons of going vertical. IEEE Des Test Comput 22(6):498–510CrossRef Davis WR, Wilson J, Mick S, Xu J, Hua H, Mineo C, Sule AM, Steer M, Franzon P (2005) Demystifying 3d ics: the pros and cons of going vertical. IEEE Des Test Comput 22(6):498–510CrossRef
7.
Zurück zum Zitat Deutsch S, Chakrabarty K (2014) Contactless pre-bond tsv test and diagnosis using ring oscillators and multiple voltage levels. IEEE Trans Comput-Aided Des Integr Circ Syst 33(5):774–785CrossRef Deutsch S, Chakrabarty K (2014) Contactless pre-bond tsv test and diagnosis using ring oscillators and multiple voltage levels. IEEE Trans Comput-Aided Des Integr Circ Syst 33(5):774–785CrossRef
8.
Zurück zum Zitat Dukovic J, Ramaswami S, Pamarthy S, Yalamanchili R, Rajagopalan N, Sapre K, Cao Z, Ritzdorf T, Wang Y, Eaton B, Ding R, Hernandez M, Naik M, Mao D, Tseng J, Cui D, Mori G, Fulmer P, Sirajuddin K, Hua J, Xia S, Erickson D, Beica R, Young E, Kusler P, Kulzer R, Oemardani S, Dai H, Xu X, Okazaki M, Dotan K, Yu C, Lazik C, Tran J, Luo L (2010) Through-silicon-via technology for 3D integration. In: IEEE International memory workshop, pp 1–2 Dukovic J, Ramaswami S, Pamarthy S, Yalamanchili R, Rajagopalan N, Sapre K, Cao Z, Ritzdorf T, Wang Y, Eaton B, Ding R, Hernandez M, Naik M, Mao D, Tseng J, Cui D, Mori G, Fulmer P, Sirajuddin K, Hua J, Xia S, Erickson D, Beica R, Young E, Kusler P, Kulzer R, Oemardani S, Dai H, Xu X, Okazaki M, Dotan K, Yu C, Lazik C, Tran J, Luo L (2010) Through-silicon-via technology for 3D integration. In: IEEE International memory workshop, pp 1–2
9.
Zurück zum Zitat Hsieh A-C, Hwang T (2011) Tsv redundancy: architecture and design issues in 3-d ic. IEEE Trans Very Large Scale Integr (VLSI) Syst 20(4):711–722CrossRef Hsieh A-C, Hwang T (2011) Tsv redundancy: architecture and design issues in 3-d ic. IEEE Trans Very Large Scale Integr (VLSI) Syst 20(4):711–722CrossRef
10.
Zurück zum Zitat Huang Y-J, Li J-F, Chen J-J, Kwai D-M, Chou Y-F, Wu C-W (2011) A built-in self-test scheme for the post-bond test of tsvs in 3d ics. In: 29th VLSI test symposium. IEEE, pp 20–25 Huang Y-J, Li J-F, Chen J-J, Kwai D-M, Chou Y-F, Wu C-W (2011) A built-in self-test scheme for the post-bond test of tsvs in 3d ics. In: 29th VLSI test symposium. IEEE, pp 20–25
11.
Zurück zum Zitat Huang S-Y, Lin Y-H, Tsai K-HH, Cheng W-T, Sunter S, Chou Y-F, Kwai D-M (2012) Small delay testing for tsvs in 3-d ics. In: Proceedings of the 49th annual design automation conference. ACM, pp 1031–1036 Huang S-Y, Lin Y-H, Tsai K-HH, Cheng W-T, Sunter S, Chou Y-F, Kwai D-M (2012) Small delay testing for tsvs in 3-d ics. In: Proceedings of the 49th annual design automation conference. ACM, pp 1031–1036
12.
Zurück zum Zitat Huang L-R, Huang S-Y, Sunter S, Tsai K-H, Cheng W-T (2013) Oscillation-based prebond tsv test. IEEE Trans Comput-Aided Des Integr Circ Syst 32(9):1440–1444CrossRef Huang L-R, Huang S-Y, Sunter S, Tsai K-H, Cheng W-T (2013) Oscillation-based prebond tsv test. IEEE Trans Comput-Aided Des Integr Circ Syst 32(9):1440–1444CrossRef
13.
Zurück zum Zitat Lee H-HS, Chakrabarty K (2009) Test challenges for 3d integrated circuits. IEEE Des Test Comput 26 (5):26–35CrossRef Lee H-HS, Chakrabarty K (2009) Test challenges for 3d integrated circuits. IEEE Des Test Comput 26 (5):26–35CrossRef
14.
Zurück zum Zitat Marinissen EJ, Chi C-C, Konijnenburg M, Verbree J (2012) A dft architecture for 3d-sics based on a standardizable die wrapper. J Electron Test 28(1):73–92CrossRef Marinissen EJ, Chi C-C, Konijnenburg M, Verbree J (2012) A dft architecture for 3d-sics based on a standardizable die wrapper. J Electron Test 28(1):73–92CrossRef
15.
Zurück zum Zitat Meyer FJ, Pradhan DK (1989) Modeling defect spatial distribution. IEEE Trans Comput 38(4):538–546CrossRef Meyer FJ, Pradhan DK (1989) Modeling defect spatial distribution. IEEE Trans Comput 38(4):538–546CrossRef
16.
Zurück zum Zitat Nain RK, Pinge S, Chrzanowska-Jeske M (2010) Yield improvement of 3d ics in the presence of defects in through signal vias. In: 2010 11th International symposium on quality electronic design (ISQED). IEEE, pp 598–605 Nain RK, Pinge S, Chrzanowska-Jeske M (2010) Yield improvement of 3d ics in the presence of defects in through signal vias. In: 2010 11th International symposium on quality electronic design (ISQED). IEEE, pp 598–605
17.
Zurück zum Zitat Noia B, Chakrabarty K (2011) Identification of defective tsvs in pre-bond testing of 3d ics. In: 2011 Asian test symposium. IEEE, pp 187–194 Noia B, Chakrabarty K (2011) Identification of defective tsvs in pre-bond testing of 3d ics. In: 2011 Asian test symposium. IEEE, pp 187–194
18.
Zurück zum Zitat Noia B, Chakrabarty K (2011) Pre-bond probing of tsvs in 3d stacked ics. In: 2011 IEEE international test conference. IEEE, pp 1–10 Noia B, Chakrabarty K (2011) Pre-bond probing of tsvs in 3d stacked ics. In: 2011 IEEE international test conference. IEEE, pp 1–10
19.
Zurück zum Zitat Noia B, Chakrabarty K (2014) Design-for-test and test optimization techniques for TSV-based 3D stacked ICs. Springer Noia B, Chakrabarty K (2014) Design-for-test and test optimization techniques for TSV-based 3D stacked ICs. Springer
20.
Zurück zum Zitat Pasca V, Anghel L, Benabdenbi M (2011) Configurable thru-silicon-via interconnect built-in self-test and diagnosis. In: 2011 12th Latin American test workshop (LATW). IEEE, pp 1–6 Pasca V, Anghel L, Benabdenbi M (2011) Configurable thru-silicon-via interconnect built-in self-test and diagnosis. In: 2011 12th Latin American test workshop (LATW). IEEE, pp 1–6
21.
Zurück zum Zitat Roy SK, Chatterjee S, Giri C (2012) Identifying faulty tsvs in 3d stacked ic during pre-bond testing. In: 2012 International symposium on electronic system design (ISED). IEEE, pp 162–166 Roy SK, Chatterjee S, Giri C (2012) Identifying faulty tsvs in 3d stacked ic during pre-bond testing. In: 2012 International symposium on electronic system design (ISED). IEEE, pp 162–166
22.
Zurück zum Zitat Roy SK, Chatterjee S, Giri C, Rahaman H (2013) Faulty tsvs identification and recovery in 3d stacked ics during pre-bond testing. In: 2013 IEEE international 3D systems integration conference (3DIC). IEEE, pp 1–6 Roy SK, Chatterjee S, Giri C, Rahaman H (2013) Faulty tsvs identification and recovery in 3d stacked ics during pre-bond testing. In: 2013 IEEE international 3D systems integration conference (3DIC). IEEE, pp 1–6
23.
Zurück zum Zitat Schaper LW, Burkett SL, Spiesshoefer S, Vangara GV, Rahman Z, Polamreddy S (2005) Architectural implications and process development of 3-d vlsi z-axis interconnects using through silicon vias. IEEE Trans Adv Packag 28(3):356–366CrossRef Schaper LW, Burkett SL, Spiesshoefer S, Vangara GV, Rahman Z, Polamreddy S (2005) Architectural implications and process development of 3-d vlsi z-axis interconnects using through silicon vias. IEEE Trans Adv Packag 28(3):356–366CrossRef
24.
Zurück zum Zitat Smith K, Hanaway P, Jolley M, Gleason R, Strid E, Daenen T, Dupas L, Knuts B, Marinissen EJ, Van Dievel M (2011) Evaluation of tsv and micro-bump probing for wide i/o testing. In: 2011 IEEE international test conference. IEEE, pp 1–10 Smith K, Hanaway P, Jolley M, Gleason R, Strid E, Daenen T, Dupas L, Knuts B, Marinissen EJ, Van Dievel M (2011) Evaluation of tsv and micro-bump probing for wide i/o testing. In: 2011 IEEE international test conference. IEEE, pp 1–10
25.
Zurück zum Zitat Stapper CH (1986) On yield, fault distributions, and clustering of particles. IBM J Res Dev 30(3):326–338CrossRef Stapper CH (1986) On yield, fault distributions, and clustering of particles. IBM J Res Dev 30(3):326–338CrossRef
26.
Zurück zum Zitat Stapper CH, Armstrong FM, Saji K (1983) Integrated circuit yield statistics. Proc IEEE 71(4):453–470CrossRef Stapper CH, Armstrong FM, Saji K (1983) Integrated circuit yield statistics. Proc IEEE 71(4):453–470CrossRef
27.
Zurück zum Zitat Swinnen B, Ruythooren W, De Moor P, Bogaerts L, Carbonell L, De Munck K, Eyckens B, Stoukatch S, Tezcan DS (2006) Z. Tokei others, 3d integration by cu-cu thermo-compression bonding of extremely thinned bulk-si die containing 10 μ m pitch through-si vias. In: 2006 International electron devices meeting. IEEE, pp 1–4 Swinnen B, Ruythooren W, De Moor P, Bogaerts L, Carbonell L, De Munck K, Eyckens B, Stoukatch S, Tezcan DS (2006) Z. Tokei others, 3d integration by cu-cu thermo-compression bonding of extremely thinned bulk-si die containing 10 μ m pitch through-si vias. In: 2006 International electron devices meeting. IEEE, pp 1–4
28.
Zurück zum Zitat Tahoori MB (2005) Defects, yield, and design in sublithographic nano-electronics. In: 20th IEEE international symposium on defect and fault tolerance in VLSI systems (DFT’05). IEEE, pp 3–11 Tahoori MB (2005) Defects, yield, and design in sublithographic nano-electronics. In: 20th IEEE international symposium on defect and fault tolerance in VLSI systems (DFT’05). IEEE, pp 3–11
29.
Zurück zum Zitat Topol AW, La Tulipe D, Shi L, Alam S, Frank D, Steen S, Vichiconti J, Posillico D, Cobb M, Medd S et al (2005) Enabling soi-based assembly technology for three-dimensional (3d) integrated circuits (ics). In: IEEE International electron devices meeting, 2005 IEDM technical digest. IEEE, pp 352–355 Topol AW, La Tulipe D, Shi L, Alam S, Frank D, Steen S, Vichiconti J, Posillico D, Cobb M, Medd S et al (2005) Enabling soi-based assembly technology for three-dimensional (3d) integrated circuits (ics). In: IEEE International electron devices meeting, 2005 IEDM technical digest. IEEE, pp 352–355
30.
Zurück zum Zitat Tsai M, Klooz A, Leonard A, Appel J, Franzon P (2009) Through silicon via (tsv) defect/pinhole self test circuit for 3d-ic. In: 2009 IEEE International conference on 3D system integration. IEEE, pp 1–8 Tsai M, Klooz A, Leonard A, Appel J, Franzon P (2009) Through silicon via (tsv) defect/pinhole self test circuit for 3d-ic. In: 2009 IEEE International conference on 3D system integration. IEEE, pp 1–8
31.
Zurück zum Zitat Wang C, Zhou J, Zhao B, Liu X, Royannez P, Je M (2012) Self-test methodology and structures for pre-bond tsv testing in 3d-ic system. In: 2012 IEEE Asian solid state circuits conference (A-SSCC). IEEE, pp 393–396 Wang C, Zhou J, Zhao B, Liu X, Royannez P, Je M (2012) Self-test methodology and structures for pre-bond tsv testing in 3d-ic system. In: 2012 IEEE Asian solid state circuits conference (A-SSCC). IEEE, pp 393–396
32.
Zurück zum Zitat Zhang B, Agrawal VD (2014) An optimal probing method of pre-bond tsv fault identification in 3d stacked ics. In: 2014 SOI-3D-subthreshold microelectronics technology unified conference (S3S). IEEE, pp 1–3 Zhang B, Agrawal VD (2014) An optimal probing method of pre-bond tsv fault identification in 3d stacked ics. In: 2014 SOI-3D-subthreshold microelectronics technology unified conference (S3S). IEEE, pp 1–3
33.
Zurück zum Zitat Zhang B, Agrawal VD (2014) An optimized diagnostic procedure for pre-bond tsv defects. In: 2014 IEEE 32nd international conference on computer design (ICCD). IEEE, pp 189–194 Zhang B, Agrawal VD (2014) An optimized diagnostic procedure for pre-bond tsv defects. In: 2014 IEEE 32nd international conference on computer design (ICCD). IEEE, pp 189–194
34.
Zurück zum Zitat Zhang B, Agrawal VD (2015) Diagnostic tests for pre-bond tsv defects. In: 2015 28th International conference on VLSI design. IEEE, pp 387–392 Zhang B, Agrawal VD (2015) Diagnostic tests for pre-bond tsv defects. In: 2015 28th International conference on VLSI design. IEEE, pp 387–392
35.
Zurück zum Zitat Zhao Y, Khursheed S, Al-Hashimi BM (2011) Cost-effective tsv grouping for yield improvement of 3d-ics. In: 2011 Asian test symposium. IEEE, pp 201–206 Zhao Y, Khursheed S, Al-Hashimi BM (2011) Cost-effective tsv grouping for yield improvement of 3d-ics. In: 2011 Asian test symposium. IEEE, pp 201–206
36.
Zurück zum Zitat Zhao Y, Khursheed S, Al-Hashimi BM (2014) Online fault tolerance technique for tsv-based 3-d-ic. IEEE Trans Very Large Scale Integr (VLSI) Syst 23(8):1567–1571CrossRef Zhao Y, Khursheed S, Al-Hashimi BM (2014) Online fault tolerance technique for tsv-based 3-d-ic. IEEE Trans Very Large Scale Integr (VLSI) Syst 23(8):1567–1571CrossRef
37.
Zurück zum Zitat Zimouche H, Di Natale G, Flottes M-l, Rouzeyre B (2013) A bist method for tsvs pre-bond test. In: 2013 8th IEEE design and test symposium. IEEE, pp 1–6 Zimouche H, Di Natale G, Flottes M-l, Rouzeyre B (2013) A bist method for tsvs pre-bond test. In: 2013 8th IEEE design and test symposium. IEEE, pp 1–6
Metadaten
Titel
Identification of Random/Clustered TSV Defects in 3D IC During Pre-Bond Testing
verfasst von
Dilip Kumar Maity
Surajit Kumar Roy
Chandan Giri
Publikationsdatum
11.11.2019
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 5/2019
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-019-05824-w

Weitere Artikel der Ausgabe 5/2019

Journal of Electronic Testing 5/2019 Zur Ausgabe

Neuer Inhalt