Skip to main content
Erschienen in: The Journal of Supercomputing 2/2014

01.08.2014

A shortly connected mesh topology for high performance and energy efficient network-on-chip architectures

verfasst von: Md. Hasan Furhad, Jong-Myon Kim

Erschienen in: The Journal of Supercomputing | Ausgabe 2/2014

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Network-on-chip-based communication schemes represent a promising solution to the increasing complexity of system-on-chip problems. In this paper, we propose a new mesh-like topology called the shortly connected mesh technology (ScMesh), which is based on the traditional mesh topology, to exploit the graph symmetry properties of interconnection networks. This proposed topology not only enhances network performance by reducing the network diameter, but also provides a lower area/energy solution for interconnection network scenarios. This study analyzes and compares the performance of ScMesh to some newly improved topologies, including the WK-recursive, extended-butterfly fat tree, and diametrical mesh topologies. The experiment results indicate that ScMesh outperforms the other topologies, with throughput increases of 47.71, 33.45, and 18.64 % as well as latency decreases of 45.71, 35.84, and 14.58 % compared to the extended-butterfly fat tree, WK-recursive and diametrical mesh topologies, respectively. In addition, ScMesh achieves 41.22, 32.23, and 15.01 % lower energy consumption and 38.96, 27.43, and 18.21 % lower area overhead than the extended-butterfly fat tree, WK-recursive, and diametrical mesh topologies, respectively.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Chang KC (2011) Reliable network-on-chip design for multi-core system-on-chip. J Supercomput 55(1):86–102CrossRef Chang KC (2011) Reliable network-on-chip design for multi-core system-on-chip. J Supercomput 55(1):86–102CrossRef
2.
Zurück zum Zitat Lecler JJ, Baillieu G (2011) Application driven network-on-chip architecture exploration and refinement for a complex SoC. Des. Autom Embedded Syst 15(2):133–158CrossRef Lecler JJ, Baillieu G (2011) Application driven network-on-chip architecture exploration and refinement for a complex SoC. Des. Autom Embedded Syst 15(2):133–158CrossRef
3.
Zurück zum Zitat Chung H, Teuscher C (2013) Design and analysis of heterogenous nanoscale on-chip communication networks. Nano Commun Netw 4(1):23–42CrossRef Chung H, Teuscher C (2013) Design and analysis of heterogenous nanoscale on-chip communication networks. Nano Commun Netw 4(1):23–42CrossRef
4.
Zurück zum Zitat Kumar S, Jantsch A, Soininen JP, Forsell M, Millberg M, Oberg J, Tiensyrja K, Hemani A (2002) A network-on-chip architecture and design methodology. In: Proceedings of IEEE Annual Symposium Computer Society, VLSI, pp 105–112 Kumar S, Jantsch A, Soininen JP, Forsell M, Millberg M, Oberg J, Tiensyrja K, Hemani A (2002) A network-on-chip architecture and design methodology. In: Proceedings of IEEE Annual Symposium Computer Society, VLSI, pp 105–112
5.
Zurück zum Zitat Guerrier P, Greiner A (2000) A generic architecture for on-chip packet-switched interconnections. In: Proceedings of IEEE Design Automation Test Europe Conference, pp 250–256 Guerrier P, Greiner A (2000) A generic architecture for on-chip packet-switched interconnections. In: Proceedings of IEEE Design Automation Test Europe Conference, pp 250–256
6.
Zurück zum Zitat Dally WJ, Towles B (2001) Route packets, not wires: on-chip interconnection networks. In: Proceedings of IEEE Design Automation Test Europe Conference, pp 684–689 Dally WJ, Towles B (2001) Route packets, not wires: on-chip interconnection networks. In: Proceedings of IEEE Design Automation Test Europe Conference, pp 684–689
7.
Zurück zum Zitat Karim F, Nguyen A, Dey S (2002) An interconnect architecture for networking systems on chips. IEEE Micro 22(5):36–45CrossRef Karim F, Nguyen A, Dey S (2002) An interconnect architecture for networking systems on chips. IEEE Micro 22(5):36–45CrossRef
8.
Zurück zum Zitat Hossain H, Akbar MM, Islam MM (2005) Extended-butterfly fat tree interconnection (EFTI) architecture for network-on-chip. In: Proceedings of IEEE PACRIM on Communications, Computers and Signal Processing, pp 613–616 Hossain H, Akbar MM, Islam MM (2005) Extended-butterfly fat tree interconnection (EFTI) architecture for network-on-chip. In: Proceedings of IEEE PACRIM on Communications, Computers and Signal Processing, pp 613–616
9.
Zurück zum Zitat Ghosal P, Das TS (2013) A novel routing algorithm for on-chip communication in NoC on diametrical 2D mesh interconnection architecture. Adv Intell Syst Comput 178:667–676CrossRef Ghosal P, Das TS (2013) A novel routing algorithm for on-chip communication in NoC on diametrical 2D mesh interconnection architecture. Adv Intell Syst Comput 178:667–676CrossRef
10.
Zurück zum Zitat Suboh S, Bakhouya M, Gaber J, Ghazawi TE (2008) An interconnection architecture for network-on-chip systems. J Telecommun Syst 37(1):137–144CrossRef Suboh S, Bakhouya M, Gaber J, Ghazawi TE (2008) An interconnection architecture for network-on-chip systems. J Telecommun Syst 37(1):137–144CrossRef
11.
Zurück zum Zitat Seifi MR, Eshghi M (2012) Clustered NoC, a suitable design for group communications in network-on-chip. J Comput Electr Eng 38(1):82–95CrossRef Seifi MR, Eshghi M (2012) Clustered NoC, a suitable design for group communications in network-on-chip. J Comput Electr Eng 38(1):82–95CrossRef
13.
Zurück zum Zitat Lin J, Lin X (2012) Power and latency efficient mechanism: a seamless bridge between buffered and bufferless routing in on-chip network. J Supercomput 61(3):1048–1067CrossRef Lin J, Lin X (2012) Power and latency efficient mechanism: a seamless bridge between buffered and bufferless routing in on-chip network. J Supercomput 61(3):1048–1067CrossRef
14.
15.
Zurück zum Zitat Camacho J, Flich J, Duato J, Eberle H, Olesinski W (2011) A power-efficient network-on-chip topology. In: Proceedings of 5th International Workshop on Interconnection Network, Architecture, pp 23–26 Camacho J, Flich J, Duato J, Eberle H, Olesinski W (2011) A power-efficient network-on-chip topology. In: Proceedings of 5th International Workshop on Interconnection Network, Architecture, pp 23–26
16.
Zurück zum Zitat Nadooshan SR, Modarressi M, Azad SH (2012) The 2D digraph-based NoCs: attractive alernatives to the 2D mesh NoCs. J Supercomput 59(1):1–21CrossRef Nadooshan SR, Modarressi M, Azad SH (2012) The 2D digraph-based NoCs: attractive alernatives to the 2D mesh NoCs. J Supercomput 59(1):1–21CrossRef
17.
Zurück zum Zitat Orgas UY, Marculescu R (2006) It’s a small world after all: NoC performance optimization via long-range link insertion. IEEE Trans VLSI Syst 14(7):693–706CrossRef Orgas UY, Marculescu R (2006) It’s a small world after all: NoC performance optimization via long-range link insertion. IEEE Trans VLSI Syst 14(7):693–706CrossRef
18.
Zurück zum Zitat Joshi A, Byungsub K, Stojanovic V (2009) Designing energy-efficient low-diameter on-chip networks with equalized interconnects. In: 17th IEEE Symposium on High Performance Interconnects, pp 3–12 Joshi A, Byungsub K, Stojanovic V (2009) Designing energy-efficient low-diameter on-chip networks with equalized interconnects. In: 17th IEEE Symposium on High Performance Interconnects, pp 3–12
19.
Zurück zum Zitat Holsmark R, Palesi M, Kumar S (2008) Deadlock free routing algorithms for irregular mesh topology NoC systems with rectangular regions. J Syst Archit 54(3):427–440CrossRef Holsmark R, Palesi M, Kumar S (2008) Deadlock free routing algorithms for irregular mesh topology NoC systems with rectangular regions. J Syst Archit 54(3):427–440CrossRef
20.
Zurück zum Zitat Mubeen S (2009) Evaluation of source routing for mesh topology network-on-chip platforms. Dissertation, University of Jonkoping Mubeen S (2009) Evaluation of source routing for mesh topology network-on-chip platforms. Dissertation, University of Jonkoping
21.
Zurück zum Zitat Deorio A, Fick D, Bertacco V, Sylvester D, Blaauw D, Jin H, Chen G (2012) A reliable routing architecture and algorithm for NoCs. IEEE Trans Comput Aided Des Integr Circuits Syst 31(5):726–739CrossRef Deorio A, Fick D, Bertacco V, Sylvester D, Blaauw D, Jin H, Chen G (2012) A reliable routing architecture and algorithm for NoCs. IEEE Trans Comput Aided Des Integr Circuits Syst 31(5):726–739CrossRef
22.
Zurück zum Zitat Dally WJ, Seitz CL (1987) Deadlock-free message routing in multiprocessor interconnection networks. IEEE Trans Comput C 36(5):547–553MATHCrossRef Dally WJ, Seitz CL (1987) Deadlock-free message routing in multiprocessor interconnection networks. IEEE Trans Comput C 36(5):547–553MATHCrossRef
23.
Zurück zum Zitat Glass CJ, Ni LM (1992) The turn model for adaptive routing. In: Proceedings of 19th IEEE International Symposium on Computer Architecture, pp 278–287 Glass CJ, Ni LM (1992) The turn model for adaptive routing. In: Proceedings of 19th IEEE International Symposium on Computer Architecture, pp 278–287
24.
Zurück zum Zitat Pande PP, Grecu C, Jones M, Ivanov A, Saleh R (2005) Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Trans Comput 54(8):1025–1040CrossRef Pande PP, Grecu C, Jones M, Ivanov A, Saleh R (2005) Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Trans Comput 54(8):1025–1040CrossRef
25.
Zurück zum Zitat Miligi E (2011) Networks-on-chips: modeling, analysis and design methodologies. Dissertation, University of Victoria Miligi E (2011) Networks-on-chips: modeling, analysis and design methodologies. Dissertation, University of Victoria
26.
Zurück zum Zitat Wang L, Song H, Jiang Y, Zhang L (2009) A routing-table-based adaptive and minimal routing scheme on network-on-chip architectures. J Comput Electr Eng 35(6):846–855MATHCrossRef Wang L, Song H, Jiang Y, Zhang L (2009) A routing-table-based adaptive and minimal routing scheme on network-on-chip architectures. J Comput Electr Eng 35(6):846–855MATHCrossRef
27.
Zurück zum Zitat Ye TT, Benini L, Micheli GD (2002) Analysis of power consumption on switch fabrics in network routers. In: Proceedings of IEEE Design Automation Conference, pp 524–529 Ye TT, Benini L, Micheli GD (2002) Analysis of power consumption on switch fabrics in network routers. In: Proceedings of IEEE Design Automation Conference, pp 524–529
28.
Zurück zum Zitat Bakhouya M (2009) Evaluating the energy consumption and the silicon area of on-chip interconnect architectures. J Syst Archit 55(7):387–395CrossRef Bakhouya M (2009) Evaluating the energy consumption and the silicon area of on-chip interconnect architectures. J Syst Archit 55(7):387–395CrossRef
29.
Zurück zum Zitat Abd-El-Barr M, Al-Somani TF (2011) Topological properties of hierarchical interconnection networks: a review and comparison. J Electr Comput Eng. 2011:12 doi:10.1155/2011/189434 Abd-El-Barr M, Al-Somani TF (2011) Topological properties of hierarchical interconnection networks: a review and comparison. J Electr Comput Eng. 2011:12 doi:10.​1155/​2011/​189434
30.
Zurück zum Zitat Camarero C, Martinez C, Beivide R (2012) L-networks: a topological model for regular two-dimensional interconnection networks. Comput IEEE Trans. 62(7):1362–1375 doi:10.1109/TC.2012.77 Camarero C, Martinez C, Beivide R (2012) L-networks: a topological model for regular two-dimensional interconnection networks. Comput IEEE Trans. 62(7):1362–1375 doi:10.​1109/​TC.​2012.​77
31.
Zurück zum Zitat Ghany MAE, Moursy MAE, Ismail M (2009) High throughput architecture for high performance NoC. In: Proceedings of IEEE International Symposium on Circuits and Systems, pp 2241–2244 Ghany MAE, Moursy MAE, Ismail M (2009) High throughput architecture for high performance NoC. In: Proceedings of IEEE International Symposium on Circuits and Systems, pp 2241–2244
32.
Zurück zum Zitat Lu Z, Thid R, Millberg M, Nilsson E, Jantsch A (2005) NNSE: nostrum network-on-chip simulation environment. In: Proceedings of International Conference on Swedish System-on-Chip Lu Z, Thid R, Millberg M, Nilsson E, Jantsch A (2005) NNSE: nostrum network-on-chip simulation environment. In: Proceedings of International Conference on Swedish System-on-Chip
35.
Zurück zum Zitat Varatkar G, Marculescu R (2002) Traffic analysis for on-chip networks design of multimedia applications. In: Proceedings of 39th IEEE Design Automation Conference, pp 795–800 Varatkar G, Marculescu R (2002) Traffic analysis for on-chip networks design of multimedia applications. In: Proceedings of 39th IEEE Design Automation Conference, pp 795–800
36.
Zurück zum Zitat Zhonghai L, Jantsch A (2005) Traffic configuration for evaluating networks on chips. In: Proceedings of 5th IEEE International Workshop on System-on-Chip for Real-Time Applications, pp 535–540 Zhonghai L, Jantsch A (2005) Traffic configuration for evaluating networks on chips. In: Proceedings of 5th IEEE International Workshop on System-on-Chip for Real-Time Applications, pp 535–540
37.
Zurück zum Zitat Kahng AB, Bin L, Shiuan PL, Samadi K (2012) ORION 2.0: a power-area simulator for interconnection networks. IEEE Trans VLSI Syst 20(1):191–196CrossRef Kahng AB, Bin L, Shiuan PL, Samadi K (2012) ORION 2.0: a power-area simulator for interconnection networks. IEEE Trans VLSI Syst 20(1):191–196CrossRef
38.
Zurück zum Zitat Dally WJ, Towles B (2004) Principle and practices of interconnection networks. Morgan Kaufmann, Massachusetts Dally WJ, Towles B (2004) Principle and practices of interconnection networks. Morgan Kaufmann, Massachusetts
39.
Zurück zum Zitat Sheibanyrad A (2010) 3D integration for NoC-based SoC architecture. Springer Verlag, Berlin Sheibanyrad A (2010) 3D integration for NoC-based SoC architecture. Springer Verlag, Berlin
Metadaten
Titel
A shortly connected mesh topology for high performance and energy efficient network-on-chip architectures
verfasst von
Md. Hasan Furhad
Jong-Myon Kim
Publikationsdatum
01.08.2014
Verlag
Springer US
Erschienen in
The Journal of Supercomputing / Ausgabe 2/2014
Print ISSN: 0920-8542
Elektronische ISSN: 1573-0484
DOI
https://doi.org/10.1007/s11227-014-1178-x

Weitere Artikel der Ausgabe 2/2014

The Journal of Supercomputing 2/2014 Zur Ausgabe

Premium Partner