Skip to main content

2019 | OriginalPaper | Buchkapitel

3. InvadeSIM-A Simulation Framework for Invasive Parallel Programs and Architectures

verfasst von : Sascha Roloff, Frank Hannig, Jürgen Teich

Erschienen in: Modeling and Simulation of Invasive Applications and Architectures

Verlag: Springer Singapore

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

In this chapter novel, fast, and flexible simulation techniques for modern heterogeneous NoC-based multi-core architectures are presented. They include the design and development of the full-system simulator InvadeSIM, which allows modeling complex MPSoC architectures, emulating the execution behavior of the runtime system, and simulating function and timing of invasive parallel applications apart from utilization, efficiency, and competition. A novel high-level processor simulation approach based on direct-execution and a linear timing estimation model is proposed that tackles the complexity and the heterogeneity of current multi and many-core architectures. Furthermore, a discrete-event simulation framework is presented, which allows integrating and synchronizing different simulation tasks such as software or hardware simulations. Besides processor simulation, exemplary timing models for hardware accelerators such as tightly-coupled processor arrays and special cores with instruction-set extensions are presented.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Fußnoten
1
Considered as realistic workloads are invasive X10 applications that dynamically request, release, and occupy computation and communication resources using InvadeX10.
 
2
Retired instructions are the actual number of instructions that have been executed for a particular program flow, i.e., without speculative executed instructions.
 
3
Causality describes the relationship between cause and consequence for a sequence of associated events. If event B is induced by event A, then, A is the cause of the consequence B and emerged temporally earlier than B. The inversion of this principle is called causality error. An example might be if the reply of a communication request arrives earlier than the request was sent.
 
4
Assuming a round-robin bus arbitration (no prioritization).
 
5
Perfect tiling is assumed.
 
Literatur
1.
Zurück zum Zitat S. Stattelmann, O. Bringmann, W. Rosenstiel, Fast and accurate resource conflict simulation for performance analysis of multi-core systems, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE), Grenoble, France, 14–18 Mar 2011 (IEEE, 2011), pp. 210–215. ISBN: 978-1-61284-208-0. https://doi.org/10.1109/DATE.2011.5763044 S. Stattelmann, O. Bringmann, W. Rosenstiel, Fast and accurate resource conflict simulation for performance analysis of multi-core systems, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE), Grenoble, France, 14–18 Mar 2011 (IEEE, 2011), pp. 210–215. ISBN: 978-1-61284-208-0. https://​doi.​org/​10.​1109/​DATE.​2011.​5763044
2.
Zurück zum Zitat A. Gerstlauer, Host-compiled simulation of multi-core platforms, in Proceedings of the 21st IEEE International Symposium on Rapid System Prototyping (RSP), Fairfax, VA, USA, 8–11 June 2010 (IEEE, 2010), pp. 1–6. ISBN: 978-1-4244-7073-0. https://doi.org/10.1109/RSP.2010.5656352 A. Gerstlauer, Host-compiled simulation of multi-core platforms, in Proceedings of the 21st IEEE International Symposium on Rapid System Prototyping (RSP), Fairfax, VA, USA, 8–11 June 2010 (IEEE, 2010), pp. 1–6. ISBN: 978-1-4244-7073-0. https://​doi.​org/​10.​1109/​RSP.​2010.​5656352
6.
Zurück zum Zitat A. Falcon, P. Faraboschi, D. Ortega, Combining simulation and virtualization through dynamic sampling, in Proceedings of the IEEE International Symposium on Performance Analysis of Systems & Software (ISPASS), San Jose, CA, USA, 25–27 Apr 2007 (IEEE, 2007), pp. 72–83. ISBN: 1-4244-1081-9. https://doi.org/10.1109/ISPASS.2007.363738 A. Falcon, P. Faraboschi, D. Ortega, Combining simulation and virtualization through dynamic sampling, in Proceedings of the IEEE International Symposium on Performance Analysis of Systems & Software (ISPASS), San Jose, CA, USA, 25–27 Apr 2007 (IEEE, 2007), pp. 72–83. ISBN: 1-4244-1081-9. https://​doi.​org/​10.​1109/​ISPASS.​2007.​363738
7.
Zurück zum Zitat F. Hannig et al., Resource-aware programming and simulation of MPSoC architectures through extension of X10, in Proceedings of the 14th International Workshop on Software and Compilers for Embedded Systems (SCOPES), St. Goar, Germany, 27–28 June 2011, (ACM, 2011), pp. 48–55. ISBN: 978-1-4503-0763-5. https://doi.org/10.1145/1988932.1988941 F. Hannig et al., Resource-aware programming and simulation of MPSoC architectures through extension of X10, in Proceedings of the 14th International Workshop on Software and Compilers for Embedded Systems (SCOPES), St. Goar, Germany, 27–28 June 2011, (ACM, 2011), pp. 48–55. ISBN: 978-1-4503-0763-5. https://​doi.​org/​10.​1145/​1988932.​1988941
8.
Zurück zum Zitat S. Roloff, F. Hannig, J. Teich, Approximate time functional simulation of resource-aware programming concepts for heterogeneous MPSoCs, in Proceedings of the 17th Asia and South Pacific Design Automation Conference (ASP-DAC), Sydney, NSW, Australia, 30 Jan–2 Feb, 2012 (IEEE, 2012), pp. 187–192. ISBN: 978-1-4673-0770-3. https://doi.org/10.1109/ASPDAC.2012.6164943 S. Roloff, F. Hannig, J. Teich, Approximate time functional simulation of resource-aware programming concepts for heterogeneous MPSoCs, in Proceedings of the 17th Asia and South Pacific Design Automation Conference (ASP-DAC), Sydney, NSW, Australia, 30 Jan–2 Feb, 2012 (IEEE, 2012), pp. 187–192. ISBN: 978-1-4673-0770-3. https://​doi.​org/​10.​1109/​ASPDAC.​2012.​6164943
9.
Zurück zum Zitat S. Roloff, F. Hannig, J. Teich, Fast architecture evaluation of heterogeneous MPSoCs by host-compiled simulation, in Proceedings of the 15th International Workshop on Software and Compilers for Embedded Systems (SCOPES), St. Goar, Germany, 15–16 May 2012 (ACM, 2012), pp. 52–61. ISBN: 978-1-4503-1336-0. https://doi.org/10.1145/2236576.2236582 S. Roloff, F. Hannig, J. Teich, Fast architecture evaluation of heterogeneous MPSoCs by host-compiled simulation, in Proceedings of the 15th International Workshop on Software and Compilers for Embedded Systems (SCOPES), St. Goar, Germany, 15–16 May 2012 (ACM, 2012), pp. 52–61. ISBN: 978-1-4503-1336-0. https://​doi.​org/​10.​1145/​2236576.​2236582
10.
Zurück zum Zitat C.J. Mauer, M.D. Hill, D.A. Wood, Full-system timing first simulation, in Proceedings of the ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems (SIGMET RICS), Marina Del Rey, CA, USA, 15–19 June 2002 (ACM, 2002), pp. 108-116. ISBN: 1-58113-531-9. https://doi.org/10.1145/511334.511349 C.J. Mauer, M.D. Hill, D.A. Wood, Full-system timing first simulation, in Proceedings of the ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems (SIGMET RICS), Marina Del Rey, CA, USA, 15–19 June 2002 (ACM, 2002), pp. 108-116. ISBN: 1-58113-531-9. https://​doi.​org/​10.​1145/​511334.​511349
11.
Zurück zum Zitat R.C. Covington et al., The rice parallel processing Testbed, in Proceedings of the ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems (SIGMETRICS), Santa Fe, NM, USA, 24–27 May 1988 (ACM, 1988), pp. 4–11. ISBN: 0-89791-254-3. https://doi.org/10.1145/55595.55596 R.C. Covington et al., The rice parallel processing Testbed, in Proceedings of the ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems (SIGMETRICS), Santa Fe, NM, USA, 24–27 May 1988 (ACM, 1988), pp. 4–11. ISBN: 0-89791-254-3. https://​doi.​org/​10.​1145/​55595.​55596
12.
Zurück zum Zitat S.K. Reinhardt et al., The wisconsin wind tunnel: virtual prototyping of parallel computers, in Proceedings of the ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems (SIGMETRICS), Santa Clara, CA, USA, 10–14 May 1993 (ACM, 1993), pp. 48–60. ISBN: 0-89791-580-1. https://doi.org/10.1145/166955.166979 S.K. Reinhardt et al., The wisconsin wind tunnel: virtual prototyping of parallel computers, in Proceedings of the ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems (SIGMETRICS), Santa Clara, CA, USA, 10–14 May 1993 (ACM, 1993), pp. 48–60. ISBN: 0-89791-580-1. https://​doi.​org/​10.​1145/​166955.​166979
14.
Zurück zum Zitat G. Zheng, G. Kakulapati, L. Kalè, BigSim: a parallel simulator for performance prediction of extremely large parallel machines, in Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS), Santa Fe, NM, USA, 26–30 Apr 2004 (IEEE, 2014), pp. 78–87. ISBN: 0-7695-2132-0. https://doi.org/10.1109/IPDPS.2004.1303013 G. Zheng, G. Kakulapati, L. Kalè, BigSim: a parallel simulator for performance prediction of extremely large parallel machines, in Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS), Santa Fe, NM, USA, 26–30 Apr 2004 (IEEE, 2014), pp. 78–87. ISBN: 0-7695-2132-0. https://​doi.​org/​10.​1109/​IPDPS.​2004.​1303013
15.
Zurück zum Zitat T. Diop, N.E. Jerger, J. Anderson, Power modeling for heterogeneous processors, in Proceedings of the 7th Workshop on General Purpose Processing Using GPUs (GPGPU), Salt Lake City, UT, USA, 1 Mar 2014 (ACM, 2014), 90:90-90:98. ISBN: 978-1-4503-2766-4. https://doi.org/10.1145/2576779.2576790 T. Diop, N.E. Jerger, J. Anderson, Power modeling for heterogeneous processors, in Proceedings of the 7th Workshop on General Purpose Processing Using GPUs (GPGPU), Salt Lake City, UT, USA, 1 Mar 2014 (ACM, 2014), 90:90-90:98. ISBN: 978-1-4503-2766-4. https://​doi.​org/​10.​1145/​2576779.​2576790
18.
Zurück zum Zitat G. Contreras, M. Martonosi, Power prediction for intel XScale \(\textregistered \) processors using performance monitoring unit events, in Proceedings of the International Symposium on Low Power Electronics and Design (ISPLED), San Diego, CA, USA, 8–10 Aug 2005 (ACM, 2005), pp. 221–226. ISBN: 1-59593-137-6. https://doi.org/10.1145/1077603.1077657 G. Contreras, M. Martonosi, Power prediction for intel XScale \(\textregistered \) processors using performance monitoring unit events, in Proceedings of the International Symposium on Low Power Electronics and Design (ISPLED), San Diego, CA, USA, 8–10 Aug 2005 (ACM, 2005), pp. 221–226. ISBN: 1-59593-137-6. https://​doi.​org/​10.​1145/​1077603.​1077657
19.
Zurück zum Zitat J. Russ, M. Margaret, Run-time power estimation in high performance microprocessors, in Proceedings of the International Symposium on Low Power Electronics and Design (ISPLED), Huntington Beach, CA, USA, 6–7 Aug 2001 (ACM, 2001), pp. 135–140. ISBN: 1-58113-371-5. https://doi.org/10.1109/LPE.2001.945389 J. Russ, M. Margaret, Run-time power estimation in high performance microprocessors, in Proceedings of the International Symposium on Low Power Electronics and Design (ISPLED), Huntington Beach, CA, USA, 6–7 Aug 2001 (ACM, 2001), pp. 135–140. ISBN: 1-58113-371-5. https://​doi.​org/​10.​1109/​LPE.​2001.​945389
20.
Zurück zum Zitat S. Eranian, Perfmon2: a flexible performance monitoring interface for Linux, in Proceedings of the Ottawa Linux Symposium (OLS), Ottawa, ON, Canada. 19–22 July 2006, pp. 269–288 S. Eranian, Perfmon2: a flexible performance monitoring interface for Linux, in Proceedings of the Ottawa Linux Symposium (OLS), Ottawa, ON, Canada. 19–22 July 2006, pp. 269–288
21.
Zurück zum Zitat V.M. Weaver, Linux perfevent features and overhead, in Proceedings of the 2nd International Workshop on Performance Analysis of Workload Optimized Systems (FastPath). Austin, TX, USA. 21 Apr 2013, pp. 80–86 V.M. Weaver, Linux perfevent features and overhead, in Proceedings of the 2nd International Workshop on Performance Analysis of Workload Optimized Systems (FastPath). Austin, TX, USA. 21 Apr 2013, pp. 80–86
22.
Zurück zum Zitat J. Henkel et al., i-Core: a run-time adaptive processor for embedded multi-core systems, in Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA), Las Vegas, NV, USA, July 18–21, 2011, pp. 1–8 J. Henkel et al., i-Core: a run-time adaptive processor for embedded multi-core systems, in Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA), Las Vegas, NV, USA, July 18–21, 2011, pp. 1–8
26.
Zurück zum Zitat M.T. Yourst, PTLsim: a cycle accurate full system x86-64 microarchitectural simulator, in Proceedings of the IEEE International Symposium on Performance Analysis of Systems & Software (ISPASS), San Jose, CA, USA, 25–27 Apr 2007 (IEEE, 2007), pp. 23–34. ISBN: 1-4244-1081-9. https://doi.org/10.1109/ISPASS.2007.363733 M.T. Yourst, PTLsim: a cycle accurate full system x86-64 microarchitectural simulator, in Proceedings of the IEEE International Symposium on Performance Analysis of Systems & Software (ISPASS), San Jose, CA, USA, 25–27 Apr 2007 (IEEE, 2007), pp. 23–34. ISBN: 1-4244-1081-9. https://​doi.​org/​10.​1109/​ISPASS.​2007.​363733
33.
Zurück zum Zitat M.R. Hartoog et al., Generation of software tools from processor descriptions for hardware/software codesign, in Proceedings of the 34th Annual Design Automation Conference (DAC), Anaheim, CA, USA, 9–13 June 1997 (ACM, 1997), pp. 303–306. ISBN: 0-89791-920-3. https://doi.org/10.1145/266021.266110 M.R. Hartoog et al., Generation of software tools from processor descriptions for hardware/software codesign, in Proceedings of the 34th Annual Design Automation Conference (DAC), Anaheim, CA, USA, 9–13 June 1997 (ACM, 1997), pp. 303–306. ISBN: 0-89791-920-3. https://​doi.​org/​10.​1145/​266021.​266110
34.
Zurück zum Zitat G. Hadjiyiannis, S. Hanono, S. Devadas, ISDL: an instruction set description language for retargetability, in Proceedings of the 34th Annual Design Automation Conference (DAC), Anaheim, CA, USA, 9–13 June 1997 (ACM, 1997), pp. 299–302. ISBN: 0-89791-920-3. https://doi.org/10.1145/266021.266108 G. Hadjiyiannis, S. Hanono, S. Devadas, ISDL: an instruction set description language for retargetability, in Proceedings of the 34th Annual Design Automation Conference (DAC), Anaheim, CA, USA, 9–13 June 1997 (ACM, 1997), pp. 299–302. ISBN: 0-89791-920-3. https://​doi.​org/​10.​1145/​266021.​266108
35.
Zurück zum Zitat S. Sutarwala, P.G. Paulin, Y. Kumar, Insulin: an instruction set simulation environment, in Proceedings of the 11th International Conference on Computer Hardware Description Languages and Their Applications (CHDL), Ottawa, ON, 26–28 Apr 1993 (Canada. North-Holland Publishing Co., 1993), pp. 369–376. ISBN: 0-444-81641-0CrossRef S. Sutarwala, P.G. Paulin, Y. Kumar, Insulin: an instruction set simulation environment, in Proceedings of the 11th International Conference on Computer Hardware Description Languages and Their Applications (CHDL), Ottawa, ON, 26–28 Apr 1993 (Canada. North-Holland Publishing Co., 1993), pp. 369–376. ISBN: 0-444-81641-0CrossRef
37.
Zurück zum Zitat J. Zhu, D.D. Gajski, A Retargetable, ultra-fast instruction set simulator, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE), Munich, Germany, Mar 1999 (ACM, 1999), pp. 62–69. ISBN: 1-58113-121-6. https://doi.org/10.1145/307418.307509 J. Zhu, D.D. Gajski, A Retargetable, ultra-fast instruction set simulator, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE), Munich, Germany, Mar 1999 (ACM, 1999), pp. 62–69. ISBN: 1-58113-121-6. https://​doi.​org/​10.​1145/​307418.​307509
40.
41.
Zurück zum Zitat M. Reshadi, P. Mishra, N. Dutt, Instruction set compiled simulation: a technique for fast and flexible instruction set simulation, in Proceedings of the 40th Annual Design Automation Conference (DAC), Anaheim, CA, USA, June 2, 2003-June 6, 2002 (ACM, 2002), pp. 758–763. ISBN: 1-58113-688-9. https://doi.org/10.1145/775832.776026 M. Reshadi, P. Mishra, N. Dutt, Instruction set compiled simulation: a technique for fast and flexible instruction set simulation, in Proceedings of the 40th Annual Design Automation Conference (DAC), Anaheim, CA, USA, June 2, 2003-June 6, 2002 (ACM, 2002), pp. 758–763. ISBN: 1-58113-688-9. https://​doi.​org/​10.​1145/​775832.​776026
42.
43.
Zurück zum Zitat R.C. Bedichek, Talisman: fast and accurate multicomputer simulation, in Proceedings of the ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS), Ottawa, ON, Canada, 15–19 May 1995 (ACM, 1995), pp. 14–24. ISBN: 0-89791-695-6. https://doi.org/10.1145/223587.223589 R.C. Bedichek, Talisman: fast and accurate multicomputer simulation, in Proceedings of the ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS), Ottawa, ON, Canada, 15–19 May 1995 (ACM, 1995), pp. 14–24. ISBN: 0-89791-695-6. https://​doi.​org/​10.​1145/​223587.​223589
51.
Zurück zum Zitat E.C. Schnarr, M.D. Hill, J.R. Larus, Facile: a Language and Compiler for High-performance Processor Simulators, in Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Snowbird, UT, USA, 20–22 June 2001 (ACM, 2001), pp. 321–331. ISBN: 1-58113-414-2. https://doi.org/10.1145/378795.378864 E.C. Schnarr, M.D. Hill, J.R. Larus, Facile: a Language and Compiler for High-performance Processor Simulators, in Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Snowbird, UT, USA, 20–22 June 2001 (ACM, 2001), pp. 321–331. ISBN: 1-58113-414-2. https://​doi.​org/​10.​1145/​378795.​378864
52.
Zurück zum Zitat R. Leupers, J. Elste, B. Landwehr, Generation of interpretive and compiled instruction set simulators, in Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC), Wanchai, Hong Kong, 18–21 Jan 1999 (IEEE, 1999), pp. 339–342. ISBN: 0-7803-5012-X. https://doi.org/10.1109/ASPDAC.1999.760028 R. Leupers, J. Elste, B. Landwehr, Generation of interpretive and compiled instruction set simulators, in Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC), Wanchai, Hong Kong, 18–21 Jan 1999 (IEEE, 1999), pp. 339–342. ISBN: 0-7803-5012-X. https://​doi.​org/​10.​1109/​ASPDAC.​1999.​760028
53.
Zurück zum Zitat A. Halambi et al., EXPRESSION: a Language for Architecture Exploration through compiler/simulator retargetability, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE), Munich, Germany, 9–12 Mar 1999 (IEEE, 1999), pp. 485–490. ISBN: 0-7695-0078-1. https://doi.org/10.1109/DATE.1999.761170 A. Halambi et al., EXPRESSION: a Language for Architecture Exploration through compiler/simulator retargetability, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE), Munich, Germany, 9–12 Mar 1999 (IEEE, 1999), pp. 485–490. ISBN: 0-7695-0078-1. https://​doi.​org/​10.​1109/​DATE.​1999.​761170
54.
Zurück zum Zitat L.G. Murillo et al., Synchronization for hybrid MPSoC full-system simulation, in Proceedings of the 49th Annual Design Automation Conference (DAC), San Francisco, CA, USA, 3–7 June 2012 (ACM, 2012), pp. 121–126. ISBN: 978-1-4503-1199-1. https://doi.org/10.1145/2228360.2228383 L.G. Murillo et al., Synchronization for hybrid MPSoC full-system simulation, in Proceedings of the 49th Annual Design Automation Conference (DAC), San Francisco, CA, USA, 3–7 June 2012 (ACM, 2012), pp. 121–126. ISBN: 978-1-4503-1199-1. https://​doi.​org/​10.​1145/​2228360.​2228383
55.
Zurück zum Zitat L. Gao et al., Multiprocessor performance estimation using hybrid simulation, in Proceedings of the 45th Annual Design Automation Conference (DAC), Anaheim, CA, USA, 8–13 June 2008 (ACM, 2008), pp. 325–330. ISBN: 978-1-60558-115-6. https://doi.org/10.1145/1391469.1391552 L. Gao et al., Multiprocessor performance estimation using hybrid simulation, in Proceedings of the 45th Annual Design Automation Conference (DAC), Anaheim, CA, USA, 8–13 June 2008 (ACM, 2008), pp. 325–330. ISBN: 978-1-60558-115-6. https://​doi.​org/​10.​1145/​1391469.​1391552
56.
Zurück zum Zitat S. Kraemer et al., HySim: a fast simulation framework for embedded software development, in Proceedings of the 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Salzburg, Austria, 30 Sept–3 Oct 2007 (IEEE, 2007), pp. 75–80. ISBN: 978-1-5959-3824-4. https://doi.org/10.1145/1289816.1289837 S. Kraemer et al., HySim: a fast simulation framework for embedded software development, in Proceedings of the 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Salzburg, Austria, 30 Sept–3 Oct 2007 (IEEE, 2007), pp. 75–80. ISBN: 978-1-5959-3824-4. https://​doi.​org/​10.​1145/​1289816.​1289837
57.
Zurück zum Zitat I. Böhm, B. Franke, N. Topham, Cycle-accurate performance modelling in an ultra-fast just-in-time dynamic binary translation instruction set simulator, in Proceedings of the International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS), Samos, Greece, 19–22 July 2010 (IEEE, 2010), pp. 1–10. ISBN: 978-1-4244-7936-8. https://doi.org/10.1109/ICSAMOS.2010.5642102 I. Böhm, B. Franke, N. Topham, Cycle-accurate performance modelling in an ultra-fast just-in-time dynamic binary translation instruction set simulator, in Proceedings of the International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS), Samos, Greece, 19–22 July 2010 (IEEE, 2010), pp. 1–10. ISBN: 978-1-4244-7936-8. https://​doi.​org/​10.​1109/​ICSAMOS.​2010.​5642102
58.
Zurück zum Zitat O. Almer et al., Scalable multi-core simulation using parallel dynamic binary translation, in Proceedings of the International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS), Samos, Greece, 18–21 July 2011 (IEEE, 2011), pp. 190–199. ISBN: 978-1-4577-0802-2. https://doi.org/10.1109/SAMOS.2011.6045461 O. Almer et al., Scalable multi-core simulation using parallel dynamic binary translation, in Proceedings of the International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS), Samos, Greece, 18–21 July 2011 (IEEE, 2011), pp. 190–199. ISBN: 978-1-4577-0802-2. https://​doi.​org/​10.​1109/​SAMOS.​2011.​6045461
59.
Zurück zum Zitat E.C. Schnarr, J.R. Larus, Fast out-of-order processor simulation using memoization, in Proceedings of the 8th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), San Jose, CA, USA, 2–7 Oct 1998 (ACM, 1998), pp. 283-294. ISBN: 1-58113-107-0. https://doi.org/10.1145/291069.291063 E.C. Schnarr, J.R. Larus, Fast out-of-order processor simulation using memoization, in Proceedings of the 8th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), San Jose, CA, USA, 2–7 Oct 1998 (ACM, 1998), pp. 283-294. ISBN: 1-58113-107-0. https://​doi.​org/​10.​1145/​291069.​291063
60.
Zurück zum Zitat E. Witchel, M. Rosenblum, Embra: fast and flexible machine simulation, in Proceedings of the ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS), Philadelphia, PA, USA, 23–26 May 1996 (ACM, 1996), pp. 68–79. ISBN: 0-89791-793-6. https://doi.org/10.1145/233013.233025 E. Witchel, M. Rosenblum, Embra: fast and flexible machine simulation, in Proceedings of the ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS), Philadelphia, PA, USA, 23–26 May 1996 (ACM, 1996), pp. 68–79. ISBN: 0-89791-793-6. https://​doi.​org/​10.​1145/​233013.​233025
61.
Zurück zum Zitat B. Cmelik, D. Keppel, Shade: a fast instruction-set simulator for execution profiling, in Proceedings of the ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS), Nashville, TN, USA, 16–20 May 1994 (ACM, 1994), pp. 128–137. ISBN: 0-89791-659-X. https://doi.org/10.1145/183018.183032 B. Cmelik, D. Keppel, Shade: a fast instruction-set simulator for execution profiling, in Proceedings of the ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS), Nashville, TN, USA, 16–20 May 1994 (ACM, 1994), pp. 128–137. ISBN: 0-89791-659-X. https://​doi.​org/​10.​1145/​183018.​183032
63.
Zurück zum Zitat B. Barnes, J. Slice, SimNow: a fast and functionally accurate AMD x86-64 system simulator, in Tutorial at the IEEE International Symposium on Workload Characterization (IISWC), Austin, TX, USA. Oct. 6–8, 2005 B. Barnes, J. Slice, SimNow: a fast and functionally accurate AMD x86-64 system simulator, in Tutorial at the IEEE International Symposium on Workload Characterization (IISWC), Austin, TX, USA. Oct. 6–8, 2005
65.
Zurück zum Zitat F. Bellard, QEMU, a fast and portable dynamic translator, in Proceedings of the Annual Conference on USENIX Annual Technical Conference (ATEC), Anaheim, CA, USA, 10–15 Apr 2005 (USENIX Association, 2005), p. 41 F. Bellard, QEMU, a fast and portable dynamic translator, in Proceedings of the Annual Conference on USENIX Annual Technical Conference (ATEC), Anaheim, CA, USA, 10–15 Apr 2005 (USENIX Association, 2005), p. 41
70.
Zurück zum Zitat Z. Wang, J. Henkel, Accurate source-level simulation of embedded software with respect to compiler optimizations, in Proceedings of the Conference on Design, Automation and Test in Europe, Dresden, Germany. EDA Consortium, 12–16 Mar 2012, pp. 382–387. ISBN: 978-3-9810801-8-6 Z. Wang, J. Henkel, Accurate source-level simulation of embedded software with respect to compiler optimizations, in Proceedings of the Conference on Design, Automation and Test in Europe, Dresden, Germany. EDA Consortium, 12–16 Mar 2012, pp. 382–387. ISBN: 978-3-9810801-8-6
71.
Zurück zum Zitat P. Razaghi, A. Gerstlauer, Automatic timing granularity adjustment for host-compiled software simulation, in Proceedings of the 17th Asia and South Pacific Design Automation Conference (ASP-DAC), Sydney, NSW, Australia, 30 Jan–2 Feb 2012 (IEEE, 2012), pp. 567–572. ISBN: 978-1-4673-0770-3. https://doi.org/10.1109/ASPDAC.2012.6165021 P. Razaghi, A. Gerstlauer, Automatic timing granularity adjustment for host-compiled software simulation, in Proceedings of the 17th Asia and South Pacific Design Automation Conference (ASP-DAC), Sydney, NSW, Australia, 30 Jan–2 Feb 2012 (IEEE, 2012), pp. 567–572. ISBN: 978-1-4673-0770-3. https://​doi.​org/​10.​1109/​ASPDAC.​2012.​6165021
72.
Zurück zum Zitat Z. Wang, K. Lu, A. Herkersdorf, An approach to improve accuracy of source-level TLMs of embedded software, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE), Grenoble, France, 14–18 Mar, 2011 (IEEE, 2011) pp. 216–221. ISBN: 978-1-61284-208-0. https://doi.org/10.1109/DATE.2011.5763045 Z. Wang, K. Lu, A. Herkersdorf, An approach to improve accuracy of source-level TLMs of embedded software, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE), Grenoble, France, 14–18 Mar, 2011 (IEEE, 2011) pp. 216–221. ISBN: 978-1-61284-208-0. https://​doi.​org/​10.​1109/​DATE.​2011.​5763045
73.
Zurück zum Zitat S. Stattelmann, O. Bringmann, W. Rosenstiel, Fast and accurate source-level simulation of software timing considering complex code optimizations, in Proceedings of the 48th ACM/EDAC/IEEE DesignAutomation Conference (DAC), San Diego, CA, USA, 5–10 June 2011 (ACM, 2011), pp. 486–491. ISBN: 978-1-4503-0636-2. https://doi.org/10.1145/2024724.2024838 S. Stattelmann, O. Bringmann, W. Rosenstiel, Fast and accurate source-level simulation of software timing considering complex code optimizations, in Proceedings of the 48th ACM/EDAC/IEEE DesignAutomation Conference (DAC), San Diego, CA, USA, 5–10 June 2011 (ACM, 2011), pp. 486–491. ISBN: 978-1-4503-0636-2. https://​doi.​org/​10.​1145/​2024724.​2024838
74.
Zurück zum Zitat Z. Wang, A. Herkersdorf, An efficient approach for system-level timing simulation of compiler-optimized embedded software, in Proceedings of the 46th Annual Design Automation Conference (DAC), San Francisco, CA, USA, 26–31 July 2009 (ACM, 2009), pp. 220–225. ISBN: 978-1-6055-8497-3. https://doi.org/10.1145/1629911.1629973 Z. Wang, A. Herkersdorf, An efficient approach for system-level timing simulation of compiler-optimized embedded software, in Proceedings of the 46th Annual Design Automation Conference (DAC), San Francisco, CA, USA, 26–31 July 2009 (ACM, 2009), pp. 220–225. ISBN: 978-1-6055-8497-3. https://​doi.​org/​10.​1145/​1629911.​1629973
75.
Zurück zum Zitat Y. Hwang, S. Abdi, D. Gajski, Cycle-approximate retargetable performance estimation at the transaction level, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE), Munich, Germany, 10–14 Mar 2008 (IEEE, 2008), pp. 3–8. ISBN: 978-3-9810801-3-1. https://doi.org/10.1145/1403375.1403380 Y. Hwang, S. Abdi, D. Gajski, Cycle-approximate retargetable performance estimation at the transaction level, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE), Munich, Germany, 10–14 Mar 2008 (IEEE, 2008), pp. 3–8. ISBN: 978-3-9810801-3-1. https://​doi.​org/​10.​1145/​1403375.​1403380
76.
Zurück zum Zitat J. Schnerr et al., High-performance timing simulation of embedded software, in Proceedings of the 45th Annual Design Automation Conference (DAC), Anaheim, CA, USA, 8–13 June 2008 (ACM, 2008), pp. 290–295. ISBN: 978-1-60558-115-6. https://doi.org/10.1145/1391469.1391543 J. Schnerr et al., High-performance timing simulation of embedded software, in Proceedings of the 45th Annual Design Automation Conference (DAC), Anaheim, CA, USA, 8–13 June 2008 (ACM, 2008), pp. 290–295. ISBN: 978-1-60558-115-6. https://​doi.​org/​10.​1145/​1391469.​1391543
Metadaten
Titel
InvadeSIM-A Simulation Framework for Invasive Parallel Programs and Architectures
verfasst von
Sascha Roloff
Frank Hannig
Jürgen Teich
Copyright-Jahr
2019
Verlag
Springer Singapore
DOI
https://doi.org/10.1007/978-981-13-8387-8_3

Neuer Inhalt